• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 841-860 / 4,199건

  • 디지털공학개론 ) 기본 논리 게이트의 회로도, 진리표, 논리식을 정리하시오.
    부울 대수의 법칙을 적용한 이유는 논리 회로를 간단하게 설계하기 위해서이다. ... 본론1) 기본 논리 게이트의 회로도, 진리표, 논리식기본 논리 게이트는 논리 회로를 구성하는 데에 있어 사용되는 기본 단위이다. ... 이러한 기본으로 사용되는 논리 회로 소자들은 제품으로 구현되기 위해 중요한 역할을 한다.논리 회로에서 기본 논리 게이트는 IT 제품을 구현하는 데 있어 매우 중요한 요소로, 주어진
    리포트 | 7페이지 | 5,000원 | 등록일 2021.08.06
  • 서울시립대학교 전전설2 7주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    회로설계하는데 쓰이는 수학적 모델이다. ... clk부분을 state를 활용해 moore 방식으로 저장을 해주었지만, 이 설계에서는 단순히 순차회로의 특성을 활용하여 설계를 진행하였습니다.설계를 진행하면서 받은 느낌은 굳이 moore ... (Moore machine Type)Input_sync_by_FSM(moore machine)Test benchSimulation 결과Pin 연결- 개발 설명위 디자인의 설계는 순차회로
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 예비 보고서
    회로 설계 관점에서는 n개의 입력과 b개의 출력을 갖는 조합논리회로로 볼 수도 있다. [그림 1]은 이러한 ROM의 일반적인 구조를 나타낸다. ... 디지털논리회로실험예비 보고서[11주차]실험 9. Memory Elements : ROM/RAM1. ... [그림 11]은 32Kbyte의 ROM 4개를 연결하여 128Kbyte의 ROM처럼 사용할 수 있도록 설계한 것을 나타 낸다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    목표 - 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 설계하고 test bench를 이용하여 시뮬레이션을 하여 결과를 확인할 수 있다.1.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    마지막으로 최적화된결과로 적절한 논리 회로도를 설계한다. [2]2. ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 반전신호를 받게 설계되어 있다. ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 신호를 그대로 받도록 설계되어 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    위의 모듈 설명과 마찬가지로, 하나의 모듈을 구성할 시에 게이트 프리미티브를 인스턴스한다.[3]테스트벤치(testbench):테스트벤치는 HDL 로 설계논리회로를 시뮬레이션 검증을 ... 베릴로그의 게이트 수준의 회로 모델링에는 and, nand, or, nor, xor, xnor 등의 논리 게이트가 사용되며 0과 1로 구성된 두 개 이상의 입력과 하나의 출력을 가진다 ... 실험 장비 :1) Digilent Nexys4 FPGA Board:이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 디지털 실험 7장(가산기,감산기) 결과보고서
    실험순서1) 그림 7-5는 2진수를 Excess-3코드로 변환하는 회로를 부분적으로 완성한 설계이다. ... 이것은 표 7-2에 요약되어 있다. 7483A의 개방입력들을 연결하는 방법을 결정하고 도안을 완성한다.2) 설계로부터 회로를 구성한다. ... 논리 1일 때, LED는 ON이 되고 논리 0일 때, LED는 OFF가 된다고 가정하자.3. 결과 분석위의 사진은 A=10(1010)인 경우이다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 디지털시스템실험 2주차 예비보고서
    HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하는 도구이다.4. Verilog의 문법? ... 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계회로의 동작을 FPGA를 통해 검증한다.기본지식1. ... 연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    직렬입력 단자가 A,B이고, 직렬출력 단자가 Q , Q바이며 직렬 데이터를 A로만 받아들이기 위해 입력 단자B를 논리 0으로 설정한다.2) 직렬 입력 - 병렬 출력3 상태 버퍼는 출력이 ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정카운터는 입력 펄스 수를 세는 장치이며 대표적인 플립플롭 응용 장치이다. ... 따라서 디코더는 10 이상의 숫자를 두 자리 수로 표현하도록 설계되어야 한다.다음으로 오전과 오후를 설계해야 하는데, 이 경우 12진수 카운터의 enable 출력 Eo를 T플립플롭의
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • KLA텐코코리아 2020상반기 최종합격 자소서
    (최대 800자)전자회로설계 팀 프로젝트에서 논리적 접근으로 소자의 개수를 줄여 원하는 결과를 도출한 경험이 있습니다. ... Pspice로 회로설계하고 필터를 이용하여 입력 신호를 100배 증폭시키고 60Hz와 60kHz의 잡음을 제거하여 출력으로 내보내는 과제를 부여받았습니다. ... 또한, 공정과정에서 실제로 발생할 수 있는 이슈에 대해 더 알고자 집적회로공정실험을 수강했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.01.12
  • 9주차-실험19 결과 - 카운터 회로
    동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.실험 ... 2015년도 제2학기기초회로실험Ⅱ기초회로실험Ⅱ실험19. ... 카운터 회로담당교수 : 교수님학 부 : 전자공학부학 번 :이 름 :실 험 조 :제 출 일 : 2015. 11. 04실험제목 : 카운터 회로실험목적 : (1) 비동기식 카운터의 구조와
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • KAIST 반도체시스템공학전공 대학원 자기소개서작성성공패턴 기출문제 구두면접문제 논술주제 면접자료 연구계획서 자소서입력항목분석
    ▶ 고체역학 , 유체역학 , 열역학, 동역학 등13) 로직게이트를 설명해 보세요.▶ 논리 수준의 기본 단위 회로. logic optimization을 통해 전력, timing, 면적 ... 5) mask 설계란 무엇인가요?6) 우리나라 비메모리 산업의 현실은 어떠한가요? ... 등에 최적화하게 설계한다 .14) 반도체 생산에서의 수율은 어떻게 계산하는지 설명해 보세요.▶ 투입 수에 대한 완성된 양품의 비율.
    자기소개서 | 255페이지 | 9,900원 | 등록일 2022.08.15
  • 부산대학교전자공학과대학원자소서, 부산대전자공학과대학원면접시험, 부산대전자공학과지원동기, 부산대전자공학과대학원학습계획서, 부산대전자공학과대학원입사시험, 부산대전자공학과대학원논술시험, 부산대전자공학대학원논문작성, 부산대전자공학과연구계획서, 부산대전자공학과대학원기출문제
    þ 전자 회로 설계에서 사용되는 트랜지스터의 기본 동작 원리를 설명하세요. þ 운영체제에서 멀티태스킹과 멀티쓰레딩의 차이는 무엇인가요? ... 회로와 불 대수에 대해 설명하세요. þ 디지털 신호 처리의 기본 원리는 무엇인가요? ... 클라우드 컴퓨팅이 전자정보융합 분야에 어떻게 영향을 미치는지 설명하세요.þ 버플로우(Overflow)와 언더플로우(Underflow)에 대해 설명해주세요. þ 디지털 시스템에서의 논리
    자기소개서 | 229페이지 | 9,900원 | 등록일 2024.06.11
  • [전자회로] Pspice 사용법
    입력하여 결과 값을 시뮬레이션 해보았더니 좀 더 쉽게 논리회로가 이해되었다.0s일 때 input1과 input2의 AND gate 는 각각 값이 1과 0이므로, 입력 값이 모두 1이 ... 따라서 이번에 PSpice 프로그램을 이용하여 설계한 gate는 AND gate를 사용한 회로로, 4개의 input에 시간마다 을 각각 두 개씩 AND gate로 연결하고, 또 그 ... 고찰Pspice 프로그램이라는 프로그램의 사용법을 예비 레포트를 통하여 조사해보고 Pspice의 사용법을 익힌 후, 직접 gate를 사용하여 회로설계해보고 각각 input 값을
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.30
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    그렇기 때문에 Moore machine의 설계는 assign문을 사용하였다. assign문에서 각각의 경우를 나눠서 출력을 할당하기 위해 논리 연산자 ( )와 ?를 이용하였다. ... 조합회로와는 다르게 순차회로에서는 clk를 선언해줘야 했다. ... 쓰레기 값이 들어가면 코드 동작이 불확실해지므로 처음에 무조건 회로를 초기화해야 한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 시립대 전전설2 Velilog 결과리포트 2주차
    AND 게이트와 반가산기는 익숙한 논리회로라 무리 없이 만들 수 있었다. 하지만 프로그램이 오류없이 구동되는 데까지 시간이 많이 걸렸다. ... 실험 결과ANDANDSchematic회로도구동 상태확인PIN설정설정ABX핀 설정값P63P67P191실제 핀버튼 스위치1버튼 스위치2LED 1①②③④HalfAdder반가산기Schematic회로도구동 ... Target 디바이스와 핀 설정, 내부 Logic Cell 배치에 따라 delay Time의 결과가 달라진다- 실제 하드웨어 동작 상황에 대한 시뮬레이션5) AND Gate- 출력은 논리
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다.74LS47(7-세그먼트 디코더)7-세그먼트 LED2. 가산기 입출력 설계2.1. ... 디스플레이 출력이 제대로 나왔고 이번 실험을 통해 회로 구상과 설계뿐만 아니라 실질적인 작업의 숙련도 또한 중요하다고 느꼈다. ... 앞서 실험실 개방 때 회로도가 제대로 작동함을 확인하였지만 막상 텀 당일에 설계해보니 납땜이 미숙하여 시간이 오래 걸렸고, 점프선을 작게 사용하여 패턴도를 작성하다보니 작업 시 생각보다
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 홍익대학교 전전 실험1 멀티플렉서 예비보고서
    를 변화시키면서 Y를 측정하여 표 4(a)에 기록한다.(5) 예비보고사항에서 설계한 등가회로를 구성하고, S₂, S₁, S?와 Y를 변화시키면서 D?~D? ... 목적조합논리회로의 예 다른 예로서 멀티플렉서와 디멀티플렉서의 동작 원리 및 특성을 확인한다.2. ... 예로서 다음의 식 (1)로 나타나는 논리함수를 회로로 구현하는 방법을 살펴보도록 하자.F=ABC+ABC+ABC+ABC여기서 A, B, C 세 개의 입력 중 A를 입력으로 할당하고,
    리포트 | 10페이지 | 2,000원 | 등록일 2020.12.25
  • 이화여대 전기전자공학부(전전) 추가합격 자기소개서
    학업에 기울인 노력과 학습 경험을 통해, 배우고 느낀 점을 기술하시오. (1,000자 이내)디지털 공학 과목에서 논리게이트의 기능과 회로 구성 방법 대해 공부하고 직접 결과 파형을 ... 우선 디지털 회로와 시스템의 설계 구성에 기초가 되는 ’회로이론‘과 ’디지털 공학‘을 수강할 것입니다. ... 이론에 관한 지식을 기반으로 IoT 기술과 결합한 디지털 회로 설계 프로젝트를 진행하고 싶습니다.
    자기소개서 | 4페이지 | 7,000원 | 등록일 2022.12.29
  • SK하이닉스 소자 직무 합격 자기소개서
    설계하였고, 추가 과제인 7segment display에 최소의 전선을 이용하여 숫자를 출력하는 논리회로를 구현하였습니다. ... 연구하며 저는 chip을 이용한 회로설계도 재미있지만, chip을 구성하는 회로설계를 직접 해보고 싶다는 생각을 하였습니다4. ... 디지털 회로 설계에 자신이 있었던 저는 전반적인 회로 디자인을 보기쉽게 작성하여 실험전 팀원들에게 나눠주었고, 해당 회로의 소자들에대한 조사를 함께 진행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감