• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 721-740 / 4,199건

  • 14주차 Digital CMOS Circuit 예비보고서
    실험 1에서 설계한 NAND GATE의 출력에 단순히 inverter를 거치는 회로이므로 출력신호의 논리값은 실험1에서와 정확히 반대가 되는 것을 확인할 수 있다.위의 결과를 바탕으로 ... CMOS NOR GateNMOS와 PMOS를 두 개씩 사용하여 설계한 NOR gate 회로이다. ... 실험 2에서 설계한 NOR gate에 inverter만 cascading 하여 설계회로이므로 NOR GATE의 출력과 정확히 반대가 됨을 확인할 수 있다.TRUTH TABLE을
    리포트 | 9페이지 | 1,000원 | 등록일 2021.11.08
  • 인공지능시대의 컴퓨터 개론 기출문제 족보 2장 정오식
    논리회로논리곱 조건을 만족하는 회로로서 2개의 조건 중 1개만 만족해도 되는 경우이다. ( X )(논리회로는 2개의 조건 모두 만족해야 되는 경우이다.)1. ... 자기코어 )가 이용되었으며, 기억 용량이 큰 자기 드럼 및 자기 디스크가 보조기억 장치로 사용되었다.6. ( PDA )는 거의 온종일을 이동 중에 일을 수행하는 세일즈맨, 보험 설계사 ... 제1세대 컴퓨터의 회로는 ( 진공관 )이었으며, 제3세대 컴퓨터의 회로는 ( 집적회로 )이었다.5.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.04.29
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    논리조합회로설계 실험에서 반가산기와 전가산기의 입력과 출력 사이의 관계를 진리표로부터 유도한 후 논리연산자의 연산 법칙을 이용해 최대한 간단히 정리하시오.6. 실험순서6-1. ... 아날로그 및 디지털 기초 회로 응용2. ... KCL, KVL 현상 확인[아두이노 활용, KCL, KVL 확인 회로 구성]구성할 회로[ 측정값 출력 사전 코드 구성]1) 위 그림의 회로를 구성하여 V1에 5V, GND를 인가한다
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 병렬가산기 설계 결과보고서
    논리회로설계 실험 결과보고서 #3실험 3. 병렬가산기 설계1. ... 스키메틱에서는 전가산기를 논리기호로 표시함으로써 1개의 전가산기를 설계하였는데도 10개의 논리기호를 쉽게 만들어 설계가 되었다. ... 전가산기를 만든 후, 이것을 심볼로 처리해서 새로운 회로에 전가산기 10개를 이어서 만들었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 기초전자회로실험 예비보고서 - 논리회로의 기초 및 응용
    논리게이트마다 논리회로 기호, 논리식, 진리표가 있으며, 이는 디지털 회로설계하는데 이용된다.1) BUF: 입력 값 그대로 출력 Y=A2) NOT: 입력 값 반대로 출력 Y ... 논리게이트: 디지털 회로논리연산을 수행하는 디지털 소자로서 일반적으로 하나 이상의 입력 단자와 하나의 출력 단자로 구성되며 기본 게이트로 AND, OR, NOT, NAND, NOR
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 전압제어 발진기 (7주차)
    전기적 자극(Trigger)에 의해 하나의 안정상태에서 또 다른 안정상태로 변하는 회로이다. 2안정회로라고도 하며 기억, 계수 등 논리조작을 하는 기본회로로도 사용된다.쌍안정회로 중 ... 아날로그 및 디지털 회로설계실습7주차 전압 제어 발진기 과제1.영상 속 실험 결과에 따르면 Vc = 0.5V~2V인 구간에서는 주파수의 크기가 선형적인 특성을 가지고 증가하는데 그 ... 영역에서는 BJT 소자가 빠르게 동작하지 않기 때문에 주파수의 크기가 비선형적인 특성을 가지기 때문이다.2.쌍안정회로는 전기적으로 서로 다른 두 개의 안정상태만을 가질 수 있는 회로이다
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    실험 목적:Decoder, Encoder, Mux 회로설계하여 결과를 확인한다.2. ... )● 2비트 2 : 1 MUX 회로설계하시오입력 A : BUS Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED 1, ... 2=> module=> verilog text=> simulation=> 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로설계하시오입력 A, B, C, D : 버튼 스위치
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • LIG넥스원 HW 최종 합격 자기소개서(자소서)
    회로 관련 6개 전공과 설계 프로젝트 경험들을 통해 쌓은 역량이 임베디드HW를 설계, 검증하여 안정성과 효율성을 갖춘 무기체계 개발에 필요하다고 확신합니다.첫째, 디지털논리회로1,2 ... HW비용을 고려한 설계 역량이 유도무기의 가격 경쟁력에 도움이 될 것입니다.둘째, 전자회로1,2, 집적회로 과목을 수강하며 아날로그 회로에 대한 이해와 안정성을 확보하는 회로 디버깅 ... , 고급디지털회로 과목에서 모두 A+를 취득하며 HW를 고려한 RTL 설계 역량을 키웠습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 부울 대수를 이용한 논리식의 간략화 법칙이란
    구현에 있어서, 부울 대수를 이용한 논리식 간략화 법칙은 우리의 디지털 세계에 큰 영향을 끼쳤으며, 이를 통해 실제 논리회로설계 과정에서 오류 발생률을 줄여줌으로써 회로의 조립과 ... 현재 널리 사용되고 있는 컴퓨터의 논리 회로에서는 1 또는 0만 존재하는 이른바 ‘디지털 회로’를 취급한다. ... 컴퓨터에서 사용되는 디지털 조합 논리회로는 AND, OR, NOT게이트 등으로 표현할 수 있는데, 이런 소자가 많으면 많을수록 회로가 복잡해지고 중복도 생기며, 불필요한 지연시간과
    리포트 | 3페이지 | 2,000원 | 등록일 2021.09.06
  • 서강대학교 디지털논리회로실험 레포트 6주차
    time의 정의를 파악하고 이 parameter가 순차 논리회로 설계에 어떻게 영향을 미치는지 알아보자.Hold time은 출력값을 얻기 위해 C가 인가된 후 유지되어야 하는 최소 ... 이론1) sequential 회로 : 순차 논리 회로(sequential)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 말한다. ... 이는 우리의 실험 값과 동일하며, JK flipflop으로서 잘 작동했다고 볼 수 있을 것이다.STEP15)익혀보자.Simulation은 회로설계과정에서 대상 회로가 의도한대로
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    부가적으로, 비교 연산, 보수 연산, 시프트 연산 등도 수행한다.[1] 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다. ... 많은 종류의 전자 회로는 어떤 형태의 산술연산을 계산하는 데 필요한데, 간단한 회로조차도 작은 산술논리장치를 지니고 있다.2. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... , A'BC' , A'BC , AB'C 이 식들을 카르노맵을 이용하여 간소화 시키면,BCA000111100011110100고로 Y = B'C + A'C + A'B가 되고, 이를 논리회로로 ... 회로도, 이론값, 실험결과실험 1) 다음 회로를 시뮬레이션하고 표를 완성하라.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다.3. ... 공통캐소드형과 공통아노드형에 따라 정논리와 부논리로 나뉘며 공통캐소드형을 활용하는 부논리 LED는 저항을 거쳐 sink되는 과정이 필요하며, 이때 저항을 거치더라도 전류가 충분히 흐르도록 ... 또한 LED 도통 전압강하는 2V정도이며, 저항 양단의 전압은 5V로 잡았을 때 아래식(옴의법칙)에 따라 적정 저항은 270옴이 된다.정논리 동작 약도부논리 동작 약도3-2. 7-세그먼트
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 실험3. 직렬 및 병렬 다이오드 구조
    그래서 전반적인 회로 자체의 이해 이후의 실험은 보다 빠르게 진행할 수 있었다.또한 각 다이오드의 문턱전압을 이용하여 AND 등의 논리 게이트를 설계하였는데, 다이오드의 이러한 성질을 ... 양논리 AND게이트3.9 회로의 저항을 측정하라.R = 2.1 k ohmsb. ... 고찰본 실험의 목적은 다이오드가 활발히 사용된 직렬과 병렬회로설계하고 측정하는 것이었다.
    리포트 | 11페이지 | 9,000원 | 등록일 2022.10.01
  • Diode(직렬/병렬 연결, Logic) 결과보고서[인하대 기초실험2 전자과]
    Diode Logic Circuit다이오드로 구현한 AND, OR 논리 회로를 참고하여 Z = AB + CD를 나타내는 논리 회로를 다이오드 6개를 통해 설계하고 Truth Table ... 그리고 Arduino UnoR3의 GND 단자를 접지로 사용했다.• 다이오드로 구현한 AND, OR 논리회로 동작 설명: Fig 5와 같이 A, B, C, D에 입력되는 신호가 모두 ... 그리고 기판의 오른쪽 부분에서 AB와 CD의 출력을 OR gate로 입력 받아 최종 출력이 AB + CD가 되도록 설계하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.08.27
  • [한양대 Erica 기초회로실험] Active Filter
    나란히 연결된 다른 부속 회로에 관계없이, 각 부속 회로를 독립적으로 설계할 수 있게 하는omega _{c1}과omega _{c2}의 관계를 만들어 내고자 한다. ... 그러면 대역통과 필터의 설계는 간단한 회로들인 단위 이득 1차 저역통과 필터, 단위 이득 1차 고역통과 필터, 그리고 반전 연산 증폭기를 각각 설계하는 문제로 귀결된다.직렬로 연결된 ... Active Band-Pass Filter(그림 3) 대역통과 필터의 보데 크기 그래프의 구성대역통과 필터로 동작하는 연산 증폭기 회로의 해석 및 설계는 그림 3의 보데 선도의 구성에서
    리포트 | 7페이지 | 2,000원 | 등록일 2023.09.27
  • 8주차-실험19 예비 - 카운터 회로
    동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.실험준비물 ... (단, 입력 CLK의 주기는 100ns이다.)⇒ 링계수기는 두 상태를 선택하는 논리 소자가 여러 개 고리 모양으로 이어진 것이고,JK플립플롭으로 구성된 링카운터는 초기에 1을 첫 번째 ... 출력이 3, 6, 9일 때, 출력이 siren=1이 되는 회로설계하시오.⇒ Mod-10 counter를 만들고서 3, 6, 9를 만족하는 (0011, 0110, 1001)을 AND로
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 기초전자설계및실험 예비보고서 JK Flip-Flop과 클락생성
    -실험전 예비보고서를 준비할 때 NOR와 NAND게이트를 조합한 동기식 JK Flip-Flop 논리회로를 구성한다. ... -실험 전 예비보고서를 준비할 때 수동진동자를 이용하여 주어진 클락 값을 얻기 위한 회로설계한다. ... -JK Flip-Flop SN7476/7478 내부 회로도와 설계문제 2)의 NAND또는 NOR게이트 활용실험에서 구성한 회로도를 비교하고 차이점을 확인한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    연속 할당문- assign문을 이용하여 net형 객체에 값을 할당- 우변의 값에 변화(event)가 발생했을 때 좌변의 객체에 값의 할당이 일어남- 단순한 논리 표현을 이용한 조합논리회로 ... wire, tri, wand, wor, triand, trior, supply0, supply1, tri0, tri1, trireg- Default 자료형 : 1비트의 wire- 논리 ... 받는 객체 : 할당 사이의 값을 유지- 하드웨어 레지스터, 플립플롭, 래치 등을 모델링하기 위해 사용될 수 있음- reg를 사용하여도 합성의 결과에 따라서 combinational 회로
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 예비보고서
    )- 디코더 (Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... ◆ 실험목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.◆ 이론-encoder ... 의 2선-4선 decoder 회로와 같이 2진수 입력 BA의 4가지 조합의 부호들을 받아 서로 유일하게 구분되는 4개의 출력으로 바꿔주는 회로를 말한다. 회로의 인에이블
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감