• 통큰쿠폰이벤트-통합
  • 통합검색(27,787)
  • 리포트(24,566)
  • 자기소개서(1,193)
  • 시험자료(1,163)
  • 방송통신대(561)
  • 논문(196)
  • 서식(62)
  • 기업보고서(26)
  • ppt테마(12)
  • 이력서(4)
  • 노하우(4)

"신호와 시스템" 검색결과 701-720 / 27,787건

  • 마이크로컴퓨터 레포트(3)
    CPU Control은 CPU Reset 신호와 CPU Int(방해) 신호가 있으며, CPU Reset 신호는 컴퓨터를 재부팅 할 때처럼 Reset할 수 있게 보내는 신호이고, CPU ... CPU의 외부 구조 설명● 컴퓨터 시스템의 구조CPUMEMORY 시스템Arithmetic Logic UnitControl UnitInput/Output UnitExternal Data ... Control Unit이 있다.● 컴퓨터 시스템의 구조내부에 있는 내부 발진 회로로써, 클락을 생성한다.MEMORY 시스템Input/Output UnitExternal Data BusOutside
    리포트 | 9페이지 | 3,000원 | 등록일 2021.05.16
  • 교통기사 2과목 교통공학 정리
    특징 (연동신호의 운영방법)-동시연동체계 : 시스템 내 모든 교차로의 신호가 동시에 같은 신호를 표시하는 방식이다. ... .■ 대기행렬 이론의 M/M/1시스템 (지하주차장에서 나오는 차량이 요금을 지불하는 시스템)M/M/1시스템이라는 것은 "도착확률분포/서비스율/서비스기관의 수"를 의미한다. ... 만약 차량의 대기행렬이 길어져 요금징수소를 명하게 하나 더 만든다면 M/M/2 시스템으로 분석이 가능.■ 어느 대기행렬시스템 특성을 「M/D/1」으로 표현한 경우, 이 시스템에 대한
    시험자료 | 12페이지 | 2,500원 | 등록일 2021.04.23 | 수정일 2022.11.23
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    선택) 묶음 / ALU(4), 시프터(3)[제어장치]역할: 기억장치 명령어 하나씩 읽고 해독 제어신호 발생 마이크로연산 명령어 수행구성: 명령어레지스터(IR), 명령어해독기, 순서제어기 ... : 명령어 수행, 데이터 처리, 전반 과정 제어구성산술논리연산장치(ALU): 산술연산장치, 논리연산장치, 시프터, 상태레지스터, 보수기제어장치: 기억장치/연산장치/입출력장치에 제어신호 ... 발생내부버스: ALU레지스터 / 시스템버스와 연결레지스터세트: 기억장치 중 가장 빠름범용 레지스터(일시적 저장): 소규모, CPU 내부 (스크래치패드 메모리 구조 for 대규모)특수
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • 이동통신공학 보고서 7.QPSK,OQPSK,CDMA,OFDM,대역확산통신(FHSS,DSSS,THSS)
    같음[그림1-1] QPSK 신호의 위상도QPSK 송신 시스템[그림1-2] QPSK 송신기Serial to Parallel- 입력되는 신호열을 홀수번째와 짝수번째를 나눔- 분리된 신호열은 ... 일련의 신호를 " 시스템에서는, 1/8 칩 Hyperlink "http://www.ktword.co.kr/abbr_view.php? ... 일련의 신호를 " 시스템의 수신단 구현시 이를 극복할 수 있는 최적의 알고리즘이 요구됨OFDM 방식과 결합되서 사용되는 다중접속 방식OFDM/TDMA- 각 사용자에게 Hyperlink
    리포트 | 37페이지 | 2,000원 | 등록일 2021.09.23
  • 4차 산업혁명은 서로 전혀 다른 분야의 기술이 서로 융합되어 인간 중심형 기술로 변화되는 것으로 나타나고 있다. 본인이 관심있게 지켜본 네트워크 기술의 융합 사례를 선정하여 내용을 정리하여 기술하고, 본인이 생각하는 해당 분야의 향후전망 및 사회적 파급 효과를 기술하시오
    시스템은 주로 다음과 같은 구성 요소로 이루어져 있습니다. 두 번째 웨어러블 디바이스는 환자의 생체 신호(심박수, 혈압, 체온 등)를 실시간으로 측정하여 데이터를 수집합니다. ... 환자는 웨어러블 디바이스를 통해 생체 신호를 측정하고, IoT 기술을 통해 이를 의료진에게 전달하여, 비대면으로도 효과적인 진료를 받을 수 있습니다. ... 서비스를 제공하는 시스템입니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.07.22
  • 심리학개론_신경전달물질의 역할에 대해서 설명해 보시오. 여러 신경전달물질 중 자신의 생활에서 가장 많이 작용한다고 생각되는 물질에 대해서 자신의 사례를 들어서 설명해 보시오.
    신경전달물질의 역할신경전달물질은 뉴런 간의 시냅스를 통해 신호를 전달하는 역할을 한다. ... 보상 시스템과 중독도파민은 보상 시스템의 핵심 요소로 작용하며, 중독과 깊이 관련이 있다. ... 이들은 뉴런의 축삭 말단에서 방출되어 시냅스 간극을 통해 수용 뉴런의 수용체에 결합하여 신호를 전달한다.
    리포트 | 5페이지 | 3,000원 | 등록일 2024.07.22
  • A+ 현대생활과 인터넷 U-Healthcare, 시공간제약 없어진 의료IT산업 보고서 과제
    셋째, 웨어러블 헬스케어 즉 착용형 생체신호 측정 시스템에 대한 연구개발도 활발히 진행되어 왔다. ... 시스템으로서 측정된 생체신호를 PC에 저장하여 정기적 데이터 관리 및 분석이 가능하도록 하였습니다. ... 의복에 다양한 생체정보를 측정할 수 있는 센서를 내장하여 언제 어디서나 건강상태를 모니터링 하는 의복형 생체신호측정 시스템은 이동성이 보장되어 가정에서만 측정이 가능한 홈케어의 단점을
    리포트 | 6페이지 | 2,500원 | 등록일 2024.01.07
  • 자동제어 과제 5
    시스템이 진동(oscillation)하면 시스템이 불안정하다고 말할 수 있다.- True16. ... - 단 2제어(2위치제어)에서 동작신호가 들어와도 제어동작이 일어나지 않는 동작신호의 특정범위18. ... 건축설비의 중요한 디지털 제어기(현장제어기)- 동작신호로부터 제어신호를 계산. PID제어기, 진상 ? 지상보상기 등 제어이론에 따라 다양한 제어기가 설계된다.
    리포트 | 3페이지 | 5,000원 | 등록일 2022.01.14
  • 중앙대학교 공대편입자소서,학업계획서(전자전기공학부)
    이를 위해, 중앙대학교에서 차근히 기초 지식부터 학습하며 디지털 제어, 신호시스템 제어공학, 시스템 프로그래밍 등을 탄탄히 수강하며 미래를 준비할 수 있는 지식의 발판을 만들기 ... 편입준비 중, 교수진의 신뢰 및 전자전기공학의 미래성과 산업체의 요구사항을 반영하여 디지털제어, 신호시스템 제어공학, 프로그래밍 등 6개 분야 관련 탄탄한 커리큘럼으로 이끌어가는 ... 저는 다양한 산업에서 핵심이 될 수 있는 자동제어시스템 전문가가 되길 희망합니다.
    자기소개서 | 2페이지 | 4,000원 | 등록일 2023.04.09
  • 자소서-부산교통공사
    본 경험으로 부산교통공사 신호직에 지원하여 철도신호제어시설물에 대한 선진적인 시스템을 구축하고자 지원하게 되었습니다.2) 규칙과 원칙을 준수하는 직업관안전수칙을 준수하고 안전사고예방 ... 기간 동안 직접 현장 돌발 상황을 해결했었고 이를 데이터로 삼아서 신호유지보수 계획 수립 및 시설물 유지보수를 하여 신호 사고장애 복구 및 대책을 수립할 수 있었습니다. ... 이는 신호제어 설비 보수용 각종 도구 계측기 사용 기술과 도면작성 및 설계 기술 그리고 보수작업 순서 방법을 활용하는 능력을 익히는데 도움이 되었으며 후에 신호직 직무를 수행함에 있어
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.12.05
  • [방송통신대학교]정보통신망_동영상강의,기출_핵심요약노트
    데이터 전송 시스템1) 단말장치(DTE, Data Terminal Equipment) : 모니터, 스마트폰 등2) 데이터 전송회선(1) 신호변환장치(DCE, Data 제약이 없음문자의 ... 잡음은 제외하고 원래의 신호를 증폭하여 재전송. repeater를 통과할 때 약간의 시간지연이 발생. ... 물리 계층* 허브(Hub)하나의 노드에서 수신한 신호를 정확히 재생하여 다른 노드로 보내는 장치. 최근에는 리피터의 기능도 포함된 것 출시.
    방송통신대 | 39페이지 | 3,000원 | 등록일 2023.04.09
  • 예비보고서9_디지털통신2_FHSS 변복조
    Near-Far 문제는 DSSS 시스템에서 발생하는 문제로 다른 시스템의 수신기 가까이에 위치한 송신기의 과도한 전력이 무선 신호를 파괴해서 수신기가 비정상적으로 작동하는 문제다.동일 ... 같은 주파수를 사용하더라도 호핑 코드만 다르면 여러 확산대역 시스템을 동일 장소에 사용할 수 있다.부품이 상대적으로 저렴하고 높은 출력을 요구하지 않는다.임의의 도약 패턴을 알고 있다면 ... 수신 측에서는 여러 호핑 채널에 분산된 신호들을 송신 측과 같은 순서로 원신호를 복원한다.특징호핑 코드에 따라 순간적으로 한 주파수로 호핑돼 그 주파수에서 아주 짧은 시간동안 전송하고
    리포트 | 3페이지 | 2,000원 | 등록일 2021.09.23
  • Global positioning System (GPS)
    GPS 위성은 전화나 노트북과 같은 지구상의 장치로부터 신호를 주고받음으로써 작동한다. ... 그것은 신호가 지구에서 그것에 도달하는 데 걸리는 시간을 측정한 다음 원자 시계를 사용하여 장치의 위치를 결정한다. ... 최초의 GPS 또는 위성 위치 확인 시스템은 1973년 미국 국방부에 의해 발명되었다. 첫 번째 위성은 1년 후에 미 공군에 의해 개발되고 발사되었다.
    리포트 | 1페이지 | 1,500원 | 등록일 2023.12.30
  • 아주 작은 습관의 힘, 제임스 클리어 19년 2월 독후감
    “습관을 세우는 과정은 ‘신호, 열망, 반응, 보상’이라는 네 가지 단계로 간단하게 나눌 수 있다.”“먼저 ‘신호’가 있다. 신호는 뇌가 행동을 시작하게끔 자극한다. ... 신호는 시간과 장소다. ... 각각의 행동은 다음 행동을 부르는 신호가 된다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.01.03
  • 한국 행정에 도입된 넛지이론의 사례를 조사해보고 이의 적절성에 대해 논하시오.
    이 비선형 시스템에서도 가장 일반적으로 일어나는 현상이 카오스다. 이러한 의미에서 카오스는 세계의 모든 시스템과 밀접한 관계가 있다고 말할 수 있다. ... 장치시설물로 노란색 보행자 정지선과 노란발자국을 설치하여 차도와 약 1m 이상 떨어져 신호 대기를 하도록 행동을 유도함으로써 교통 사고를 예방 할 수 있다. ... 경기도남부지방경찰청 노란발자국 사례학교주변 및 교통위험지역 횡단보도 앞에서 신호 대기하는 학생들이 차도에 가깝게 있거나, 차도에 나와 대기하다 발생하는 사고 위험을줄 이기 위해 디자인된
    리포트 | 3페이지 | 1,000원 | 등록일 2023.03.16
  • 기초전자공학실험-반파 및 전파 정류
    - 실험에 관련된 이론반파와 전파 정류 시스템의 주된 기능은 평균이 0인 정현파 입력 신호로부터 직류값을 얻는 것이다.?[그림1] 반파정류 [그림2] 전파전류? ... 그러나 정현파 신호의 피크 값이 VT보다 그렇게 크지 않으면 VT는 직류 값에 현저한 영향을 미친다.정류 시스템 PIV(Peak Inverse Voltage : 최대 역방향 전압)을 ... 신호의 피크 값의 두 배이다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2023.02.09
  • 회로를 구성하는 소자 중 수동소자(저항, 인덕터, 커패시터)들의 개념과 기능 및 용도들을 설명(전기전자공학개론)
    또한, 필터 회로에서 특정 주파수의 신호를 통과시키거나 차단하여 회로에서 원하는 신호만을 사용하는 데에도 활용된다. ... 또한, 신호 처리 회로에서는 저항을 사용하여 전압을 분배하거나 정전기 방지를 위해 사용될 수 있다. ... 전자공학은 전기와 전자의 원리를 기반으로 다양한 전자기기 및 시스템을 설계하고 개발하는 학문으로, 이를 이해하는 것은 현대 기술의 핵심이라고 할 수 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.12.02
  • 시대별 대중음악의 사운드 변화 - 기술 발달을 중심으로
    디지털 시스템과 아날로그 시스템은 일그러짐의 특성이 근본적으로 다르다.아날로그 오디오 시스템의 경우에는 음압이 한계 레벨에 가까워질수록 자연스럽게 신호가 압축되면서 서서히 증가한다. ... 때문에 아날로그 음향 신호를 디지털로 변환시키는 과정에서 PCM 방식을 사용한다.PCM 방식이란 펄스 부호 변조로 아날로그 신호를 디지털 신호로 표현하는 것을 뜻한다. ... 아날로그 신호를 시간별로 구분해 시간별 값을 표시한 신호다. 나이키스트는 섀넌 표본화 이론을 내세웠다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.02.22
  • 2022년 한양대 융합전자공학부 최초합격 자기소개서(완전비동일계출신)
    따라서 다소 어려움이 많겠지만, 만약 딥 러닝을 통해 주변 상황을 학습 시켜 효율적으로 신호를 뚫어주는 시스템이 구축된다면, 교통혼잡비용의 감소로 국가적 이익은 물론 개인의 삶의 질이 ... 따라서 자율 주행이나 딥러닝을 이용한 신호 시스템에 관해 공부하고 개발할 때도 항상 안전성을 우선으로 여겨야 함을 느꼈습니다.자율 주행에 대해 더욱 큰 관심이 생겼지만, 전적 대학에서는 ... 공학자로서 어떤 시스템이나 제품을 설계할 때도 무조건적인 효율보다 안전성이 우선인 점은 같다고 생각합니다.
    자기소개서 | 3페이지 | 12,000원 | 등록일 2022.04.01 | 수정일 2022.04.06
  • [결과보고서] 압력제어 실험
    자동 제어는 출력 신호가 감지기에 의해 입력 단에 되먹여지는 되먹임 제어 시스템이다.제어 시스템에서 제어 대상은 주로 플랜트, 공정이다. ... 전달함수란 센서의 물리적 입력신호와 출력신호 사이의 함수적 관계를 이른다. ... 실험 이론2.1 제어제어 대상이 제어량을 변화시키는 요인인 외란에 영향을 받지 않고 안정하게 목표값을 추종하도록 제어 시스템을 변화, 조정하는 과정이다.
    리포트 | 20페이지 | 3,000원 | 등록일 2024.09.24
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감