• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 641-660 / 4,199건

  • 서강대학교 디지털논리회로실험 6주차 결과보고서
    Latch와 flip-flop은 순차논리회로 설계의 기본이 되는 function block들이다. ... 배경이론 및 실험방법Sequential logic circuit(순차논리회로)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 의미한다. ... 회로와 function table는 다음과 같다.S만 HIGH일 경우에는 Q만 HIGH가 되고, R만 HIGH경우에는 /Q만 HIGH가 된다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 양자컴퓨터 교내 연구 제안서 및 사업계획서 (Quantum computer proposal report)
    그 중 높은 에너지 효율과 내구성을 가진 가역 논리 게이트를 활용한 연구가 활발히 증가되고 있음.□ 가역 논리 게이트를 활용하여 구현한 양자 회로는 비가역 회로보다 높은 내구성 및 ... 따라서 이와 연관된 가산기, 쉬프트, 코드 변환기 등을 양자 회로설계하는 연구가 필요함. ... 하드웨어에서의 보안 설계가 중요시 되고 있음.
    리포트 | 5페이지 | 3,000원 | 등록일 2019.10.22 | 수정일 2019.10.29
  • [방송통신대학교] 디지털논리회로 기말시험(온라인)
    과제명:디지털 논리회로 문제풀이- 이하 과제 및 답안 작성 (※ A4용지 편집 사용)1. 2개의 D플립플롭으로 구성된 순서논리회로의 입력방정식이 다음과 같을 때 상태표, 상태도, 논리회로도를 ... 작성하면 다음과 같다.- 논리회로도3. ... 작성하면 다음과 같다.- 상태도- 논리회로도2.
    방송통신대 | 8페이지 | 4,500원 | 등록일 2022.03.01 | 수정일 2022.03.10
  • [기초회로실험]Flip-flop 회로
    Gate 사용)에서 입력 R = S = 1 일 때 출력이 금지 상태가 되는 이유를 고찰하라.리셋(reset)과 세트(set) 입력 단자와 2개의 출력Q와bar{Q}로 구성된 순서 논리 ... Flip-flop 회로1. 실험 목적가. 계수기는 입력되는 클럭의 수를 세는 디지털 회로이다. ... 회로를 RS래치 또는 RS flip-flop이라 한다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    2019년 전자전기컴퓨터설계실험24주차 사전보고서1. always 구문과 initial 구문의 차이점에 대하여 조사하시오.두 구문 모두 조합논리회로와 순차논리회로설계, 설계회로의 ... 또한 always는 시뮬레이션 시간의 진행에 관련된 제어가 포함되어야 무한 루프, 교착 상태가 발생하기 않게 된다.initial : 논리회로설계설계회로의 시뮬레이션을 위해 ... 통해 여러 논리회로를 설정할 수 있다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    또한 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. ... 전자회로설계를 수강하며 OrCAD로 Op-amp 응용 회로들을 설계했습니다. ... 이를 통해 다양한 회로설계하고 분석하는 역량을 기를 수 있었습니다.
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 메카트로닉스 순차논리회로 -99카운터 실습 레포트
    메카트로닉스 및 실습실습보고서[순차논리회로(99카운터)]■과목명:■담당교수:■제출일:■학과:■학번:■성명:■조:1.실험 개요- 실험 목적99 순차 카운터를 설계하는 것이 실험의 목적입니다 ... 입력 값에 따라 출력 값이 결정되는 조합 논리회로와는 달리 현재의 입력 값 뿐만이 아닌 기억소자인 플립플롭에 저장되어 있는 정보에 의해 결정되는 논리회로입니다.- 실습에 사용된 핵심 ... .7 세그먼트를 2개를 통해 0부터 99까지의 숫자를 표시하는 실험을 진행하고 이를 작동시키는 방법을 알아본다.- 순차논리회로란?
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.30
  • 건국대학교 전기전자기초실험2 트랜지스터1 레포트
    (트랜지스터 MPS2222A)그림 2-1 논리 게이트 회로 1그림 2-2 논리 게이트 회로 2그림 2-3 논리 게이트 회로 3그림 2-4 논리 게이트 회로 4실험을 위하여 구성한 회로의 ... 사진을 첨부한다.[2-1 논리 게이트 회로 1] [2-2 논리 게이트 회로 2][2-3 논리 게이트 회로 3] [2-4 논리 게이트 회로 4]그림 2-1 회로를 구성하고 직류전원장치로 ... 트랜지스터 (Avalanche Transistor) : 애벌랜치 트랜지스터는 바이폴라 접합 트랜지스터에서 애벌랜치 브레이크 다운 영역에서 작동하며, 높은 스위칭 속도를 갖도록 특별히 설계
    리포트 | 16페이지 | 7,000원 | 등록일 2024.09.13 | 수정일 2024.09.20
  • 예비보고서(5) 부호기복호기
    설계할 수 있다. ... 목적논리회로는 일반적으로 조합논리회로와 순서논리회로로 구분된다. ... 조합논리회로는 실험 1 기본논리게이트에서 제시된 기본논리게이트들의 조합을 통해서 구성된 논리회로이고, 순서논리회로는 입력 신호의 순서에 따라서 동작과 출력이 다르게 나타나는 논리회로이다
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • 논리회로실험 예비보고서3
    ·감산기 : 두 개 이상의 입력을 이용하여 이들의 차을 출력하도록 하는 조합논리회로로, 반감산기와 전감산기로 나눌 수 있다. ... ·예상결과 : 실험1은 반가산기의 계산법과 진리표를 통해 부울 대수식을 만들어서 회로설계하고 모든 입력 조합에 대해 올바른 결과가 나오는 지 확인해보는 실험이었다. ... ·예상결과 : 실험3은 반감산기의 계산법과 진리표를 통해 부울 대수식을 만들어서 회로설계하고 모든 입력 조합에 대해 올바른 결과가 나오는 지 확인해보는 실험이었다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • 에지트리거형 플립플롭 (D-, JK-, T-)의 특성 비교 및 설명
    논리 게이트는 AND, OR, NOT 등의 게이트가 사용될 수 있으며, 회로 구성은 게이트 선택 및 논리설계에 따라 다양할 수 있다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다. 일반적으로 T-플립플롭의 회로도는 논리 게이트로 구성된다. ... 이와 같이 레벨 트리거는 입력 신호의 전압 레벨에 따라 동작을 결정하므로, 특정 전압 조건이 충족될 때만 동작을 수행하도록 설계된다.에지 트리거(Edge Trigger)는 디지털 회로에서
    리포트 | 7페이지 | 4,000원 | 등록일 2023.07.18
  • 실험7. 플립플롭 예비보고서
    이것은 되먹임 회로에서 출력과 입력간의 레이스 조건에 의해 임의로 결정된다. 회로 설계자 입장에서는 초기 Q의 상태를 예측할 수가 없다. ... 래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래치의 논리회로가 간단하다. 플립플롭과 래치는 구조상 휘발성이다. ... 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 아날로그및디지털회로설계실습9(4-bit Adder 회로 설계)-결과보고서
    설계실습 9. 4-bit adder 회로 설계요약논리회로의 기본적인 디지털 회로라고 할 수 있는 전가산기 회로에 대해 실험을 하였다. ... 결론 및 검토사항9-5-1 본 설계실습에서 무엇을 하였으며 그 결과는 어떤가? 수치를 포함하여 요약한다.→ 논리회로의 기초적인 디지털 회로인 전가산기 회로에 대해 실험을 하였다. ... 설계실습 내용 및 분석9-4-2 설계한 전가산기 회로의 구현(XOR gate)설계실습계획서에서 그린 XOR gate를 이용한 다단계 전가산기 회로를 토글 스위치와 LED를 추가하여
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 논리회로실험 A+예비보고서 3 Adder & Subtracter
    실험 이론1) 가산기(Adder)-이진수의 덧셈을 하는 논리 회로이며, 디지털 회로, 조합 회로의 하나이다. ... -반가산기는 XOR gate, AND gate의 논리회로만으로 구성할 수 있다. ... -전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로설계되어 다양한 기능을 가진다.2) 반가산기(Half Adder)-이진수의 덧셈에서 맨 오른쪽 한자리의
    리포트 | 7페이지 | 1,000원 | 등록일 2020.10.09
  • 중앙대학교] 4-bit Adder 회로 설계 예비보고서
    4-bit Adder 회로 설계9-1. 목적조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.9-2. ... 설계실습 계획서(A)ABCinSCout0*************00110110010101011100111111(B)ABC000111100010111010sum of product :
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.05
  • [지방대.합격] 삼성전자인턴 메모리사업부 회로설계 최종합격 자기소개서
    또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. ... 그 결과 회로이론, 물리전자, 논리회로, 전자회로 등의 전공을 깊이 이해할 수 있었으며 평균 학점 4.35/4.5의 성적을 유지할 수 있었습니다.셋째, 다양한 회로 관련 교과목들을 ... 전자회로설계를 수강하며 OrCAD로 Op-amp 응용 회로들을 설계했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.05.05
  • 시립대 전전설2 Velilog 결과리포트 5주차
    실험 목적이번 실험은 조합논리 회로설계하는 것으로 조합논리 회로란 여태까지 만들었던 AND, OR, NOT 의 세가지 기본회로를 조합하여 구성하는 논리회로를 말한다. ... 중 하나를 출력하는 논리회로이다. ... 조합논리 회로의 대표적인 예로는 디코더(Decoder)와 멀티 플렉서(MUX) 등이 있는데 디코더는 해독기 등으로 쓰이는 회로이고, 멀티 플렉서는 여러 개의 데이터를 입력 받아서 그
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    따라 게이트에 입력한 값에 따라 출력하는 값이 다르도록 설계한 게이트4] 조합 논리 회로란 무엇인가? ... 논리 회로 : 부울 대수를 이용하여 1개 이상의 논리 입력을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 회로에서 뜻하는 대로 게이트 종류에 ... 조합 논리 회로 : 출력신호가 입력신호에 의해서만 결정되며, 기본 논리소자의 조합으 로 만들어지는 회로이며, 플리플롭과 같은 기억소자는 포함하지 않는 논리회로이다.
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 방송대-통계학과] 컴퓨터의 이해 - 과제명) 개인용컴퓨터,2차원바코드
    산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이고, 많은 종류의전자 회로는 어떤 형태의 산술연산을 계산하는 데 필요한데, 심지어 디지털 시계에 있는 작은 회로조차도 현재 시간에 ... 명백히, 가장 복잡한 전자 회로는 펜티엄같은 현대의 마이크로프로세서 칩 내부의 설계일 것이다. 그러므로 이런 프로세서는 내부에 강력하고 매우 복잡한 산술논리장치를 가지고 있다. ... unit)는 두 숫자의 (덧셈, 뺄셈) 산술연산과 (배타적 논리합, 논리곱, 논리합) 논리연산을 계산하는 디지털 회로이다.
    방송통신대 | 7페이지 | 5,900원 | 등록일 2020.10.21
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    회로도그림 1 디지털 시계 회로그림 1은 7-Segment와 IC 논리회로를 활용한 디지털 카운터 시계이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 실험 주제7-Segment와 IC 논리회로를 활용한 디지털 카운터 시계 (Digital Counter Clock)2. ... 발진회로 및 분주회로발진회로는 디지털 시계에 안정적인 클록을 제공할목적으로 설계되는 회로다. 우리 조는 RC 발진회로를 이용하였다(그림 2).
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감