• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 601-620 / 4,199건

  • 2020) 방통대) 기말 대비 참고용) 디지털 논리 회로
    ____________________________________○ 과 제 명 :- 이하 과제 작성※교재 6장(주관식문제 3번-교재 p254)1. 2개의 D플립플롭으로 구성된 순서논리회로의 ... -상태표, 카르노도표, 논리회로도를 작성-현재 상태다음 상태플립플롭 입력ABCABC0000100X1X0X001———######0101001XX10X011———######100110X01X0X101 ... 다음 그림의 상태도와 같이 0에서 7까지 중에서 1, 3, 5가 빠진 경우를 나타내는임의순서를 갖는 카운터를 설계하시오.
    방송통신대 | 5페이지 | 5,000원 | 등록일 2020.06.11 | 수정일 2021.10.12
  • 컴퓨터구조 ) 에지트리거형 플립프롭(D-, JK-, T-)의 특성을 비교하고 설명해보자
    논리 게이트는 AND, OR, NOT 등의 게이트가 사용될 수 있으며, 회로 구성은 게이트 선택 및 논리설계에 따라 다양할 수 있다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다. 일반적으로 T-플립플롭의 회로도는 논리 게이트로 구성된다. ... 이와 같이 레벨 트리거는 입력 신호의 전압 레벨에 따라 동작을 결정하므로, 특정 전압 조건이 충족될 때만 동작을 수행하도록 설계된다.에지 트리거(Edge Trigger)는 디지털 회로에서
    리포트 | 7페이지 | 5,000원 | 등록일 2023.12.14
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    개요 여러 가지 연산을 수행하는 논리 회로를 통해서 PCB 기판의 규격에 맞는 계산기의 회로를 만들고 구현한다 . 1. 입력 : DIP 스위치를 이용해 10 진수 입력 구현 2. ... 감가산기 : 감산기와 가산기의 차이와 유사성을 확인하고 두 개의 회로를 합쳐서 구현 3. 곱셈기 ( 승산기 ) : 2 진수 곱셈 방식과 구현 4. ... 출력하기 위한 출력 신호와 2 진수 6bit 값의 출력을 10 진수 값으로 바꾸어 FND 에 구현 " ALUs(Arithmetic logic units) 를 이용한 n-bit 계산기 설계설계
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 제11장 조합논리 예비보고서
    조합논리회로설계하려면그림 11-1. ... 제11장 조합논리-예비보고서-1) 실험의 목표- 조합논리설계과정을 이해한다.- 설계된 조합논리회로를 실험한다.- 상용 IC를 이용하여 디코더의 원리를 검증한다.2) 이론 및 실험 ... 조합하여 설계하는 과정을 예를 들면, 과 같은3가지 변수를 가진 함수 F(x, y, z)의 진리표가 다음과 같을 때,이 함수를 논리회로설계해 보자.
    리포트 | 13페이지 | 1,500원 | 등록일 2020.02.11
  • 논리회로실험 A+예비보고서 1 Basic Gates
    이는 컴퓨터 시스템의 전기적인 논리회로의 상태와 서로 대응되는 성질을 가진다. ... -변수(논리변수)사이의 진리표(True table) 관계와 논리도의 입출력 관계를 대수 형식으로 표현하고, 회로를 간소화하기 위해 Boolean Algebra를 사용한다. ... -설계한 Logic circuit으로 Boolean equation과 De Morgan의 법칙에 대하여 알아볼 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 삼성전자 회로설계 자기소개서 (2)
    전자회로, ASIC 설계 과목을 들으며 트랜지스터의 동작과 그것을 활용한 논리 게이트의 제작에 대해 배웠습니다. ... 트랜지스터의 특성부터 시작하여 디지털 회로, 논리 게이트, 컴퓨터 구조까지 궁금했던 내용과 더욱 심화된 내용을 전공과목으로부터 배울 수 있었습니다.진로 선택 이외의 동아리 활동의 장점은 ... 웨어러블 디바이스용 집적회로 설계 과목을 수강하며 최근 늘어난 IOT, 모바일 기기에 대응하기 위하여 고성능 저전력 회로 집적 회로설계하는 방법들에 대해 배웠습니다.회로의 검증에
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.05
  • [전자계산기구조]F(A,B,C) = ∑(2,4,6,7)의 진리표를 작성하고 , A,B 그리고 B,C를 각각 선택선으로 했을대 4x1 멀티플렉서 블록도를 설계하여 도시하시오
    내보낼지 선택할 수 있는 기능을 가진 논리 회로를 말합니다. ... Control input 핀에 원하는 신호를 내보내면 원하는 출력핀으로 내보내지는 논리 회로입니다.2. ... Control input 핀에 원하는 신호를 내보내면 원하는 입력이 출력으로 내보내지는 논리 회로입니다.멀티플렉서는 DEMUX라고 줄여 부르기도 하며, 한 개의 입력을 어느 출력단에
    리포트 | 4페이지 | 10,000원 | 등록일 2020.07.07 | 수정일 2021.05.11
  • 디지털논리회로실험 논리게이트 실험 레포트입니다.
    TTL 7404를 이용한 회로 설계? 제작한 회로 멀티미터기를 이용한 시뮬레이션? ... TTL 7404를 이용한 회로 설계? 제작한 회로 멀티미터기를 이용한 시뮬레이션? ... TTL 7408를 이용한 회로 설계? 제작한 회로 멀티미터기를 이용한 시뮬레이션?
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.13
  • 기본 IC칩을 이용한 회로구성
    실험 목적논리 실험장치의 사용법과 각 게이트를 이용해 회로를 구성하는 방법NOT Gate를 이용해 입력 전압의 변화에 따른 출력 전압 측정일반 논리 Gate를 이용한 회로 구성과2입력 ... 고찰이번 실험은 기본 논리게이트 (NOT, AND, OR)를 논리실험장치에서 회로를 구현하고 그에 따른 실험은 하는 것이었다. ... 실험 기구● 논리회로 실험장치 (브레드보드)IC칩과 도선을 연결해 회로를 구성하고 최대5V 까지 전압을 줄 수 있는 기구● 디지털 멀티 미터전압과 저항 그리고 전류를 측정할 수 있는
    리포트 | 14페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 부산대학교 기전실 스톱워치 설계
    기본 설계Part 3. 추가 기능 설계Part 4. 간단한 팁논리회로 및 기초전자전기실험으로 배운 지식을 활용하여 스톱워치를 설계하는 과정입니다. ... 김재호, 김성신, 남일구 공저 / 논리회로 설계 및 실험 / 부산대학교출판문화원 / 2021년 02월 26일3. ... 논리회로(EE30396) 강의 자료제 2장 조합회로 시스템제 3장 카르노 맵제 5장 대형 조합회로제 6장 순차시스템의 해석제 7장 순차회로 시스템의 설계4.
    리포트 | 7페이지 | 2,500원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    마지막으로 최적화된결과로 적절한 논리 회로도를 설계한다. [2][3]2) Moore Machine & Mealy Machine :무어 머신(Moore Machine)은 순서논리회로의 ... 그 다음 시뮬레이션을 실행시켜서 알고리즘이 맞게 작성되었는지 확인한다.5) 마지막으로, FPGA에 USB를 통해 설계회로의 코드를 주입하고, 보드의 동작을 확인한다. ... 반면 밀리 머신(Mealy Machine)은 출력이 현재상태와 입력의 함수인 회로이고 출력은 상태간을 지나가는 화살선의 위에 표시한다.[4] 무어 머신은 state machine 설계
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 전자전기컴퓨터설계실험2 실험1 예비레포트
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.⚫ OR 게이트 논리 회로 실험⚫ XOR 게이트 논리 회로 실험⚫ 반가산기 ... 회로 실험⚫ 전가산기 회로 실험나. ... 회로를 구현할 수 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2020.11.24
  • 홍익대 디지털논리실험및설계 5주차 예비보고서 A+
    디지털 논리실험 및 설계 5주차 예비보고서1. 실험 준비1.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... [그림 2]의 회로가 전가산기로 동작하는 원리를 설명하시오.가산기는 이진수의 덧셈 연산을 수행하는 논리회로이다. ... 구현하시오.전가산기 두 개를 직렬로 연결하면 두 자리 이진수의 덧셈 연산을 할 수 있는 논리회로가 구현될 것이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • vhid 전가산기 이용 설계 보고서
    과정을 통해 조합논리회로를 Verilog로 설계하는 방법에 대해 공부한다. ... 이 식은 X, Y, Cin 3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다. ... S와 C는 다음과 같이 부울 함수로 표현할 수 있다.S와 C의 부울함수전가산기 진리표,논리도를 통한 코딩wire : 회로에서 물리적인 연결선을 나타냄, 게이트 또는 module을 연결reg
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.11
  • 독학사 컴퓨터공학과 3단계 임베디드 시스템 핵심 요약집
    설계를 감소시키고 소프트웨어 개발에 중점을 두도록 함-> 하드웨어(논리, 타이밍)기술을 소프트웨어에 의해 실현하는 것이 가능함즉, 하드웨어의 소프트웨어화 -> 부품 수 절감 -> ... , 최적의 하드웨어, 소프트웨어로 구성되어 원하는 기능의 요구조건을 만족해야함- 임베디드의 응용성: 논리와 타이밍으로 설명가능한 모든 기능을 설계 대상으로 하며, 공통기반 기술로 하드웨어 ... 기능 고도화, 다기능화, 장치의 초소형화 가능1-3 마이크로프로세서의 역사- 1960년대 후반: 인텔의 4004 – 최초의 마이크로프로세서 -> 범용 목적의 집적회로- 1970년대
    시험자료 | 7페이지 | 1,500원 | 등록일 2024.09.27
  • 8. 래치와 플립플롭 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    실습목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2. ... 아날로그 및 디지털 회로 설계 실습-실습 8 예비보고서-래치와 플립플롭학과 :담당 교수님 :제출일 :조 :학번 / 이름 :8-1. ... 설계실습 계획서8-3-1 RS 래치의 특성 분석(A) RS 래치의 진리표를 나타내고, 아래 그림 RS 래치의 이론적인 상태도를 그린다.SRQ{bar{Q}}00HoldHold010110101100S
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    디멀티플렉서 설계1. ... 따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또한 VHDL이 회로로 합성되는 과정을 이해한다.2.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 아날로그및디지털회로설계실습예비보고서9-4-bit Adder 회로 설계
    실습목적조합 논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.2. ... 정보를 기억하는 회로를 가지고 있지 않은 게이트들의 집합이다. ... 1대함수발생기 (Function generator) : 1대점퍼선 : 다수* 조합회로(Combinational circuit)출력값이 0과 1의 입력값에 의해서만 결정되는 회로이다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.03.29 | 수정일 2020.05.06
  • PLL DIIVDIER 생기초 커피값으로 C라도 받아가자!
    않으며 동작함- 보통, 첫번째(LSB) 플립플롭에 만 클록펄스에 동기됨ㅇ 특징- 단점 : 각 플립플롭을 통과할 때 마다 지연시간이 누적됨 (고속 동작에는 부적합)장점 : 동작 및 논리회로 ... 설계 결과..PAGE:101) 2N분주 회로③ 8분주 회로(비동기식)3. 설계 결과..PAGE:112) 6분주 회로(동기식)3. ... 설계 도구..PAGE:81) 2N분주 회로① 2분주 회로3.
    리포트 | 20페이지 | 1,500원 | 등록일 2021.03.18 | 수정일 2021.04.12
  • 공통 과제 - 다음 두 과제를 모두 작성하라
    산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이고, 많은 종류의전자 회로는 어떤 형태의 산술연산을 계산하는 데 필요한데, 심지어 디지털 시계에 있는 작은 회로조차도 현재 시간에 ... 명백히, 가장 복잡한 전자 회로는 펜티엄같은 현대의 마이크로프로세서 칩 내부의 설계일 것이다. 그러므로 이런 프로세서는 내부에 강력하고 매우 복잡한 산술논리장치를 가지고 있다. ... unit)는 두 숫자의 (덧셈, 뺄셈) 산술연산과 (배타적 논리합, 논리곱, 논리합) 논리연산을 계산하는 디지털 회로이다.
    방송통신대 | 7페이지 | 5,900원 | 등록일 2021.05.02
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감