• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 461-480 / 4,199건

  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    반면 회로가 복잡하다는 단점이 있으며, 단계적인 설계 과정이 필요하다- 동기 카운터는 JK 플립플롭, D 플립플롭, T 플립플롭 등으로 설계한다. ... 멀티플렉서- 멀티플렉서(MUX : MUltipleXer)는 여러 입력 데이터 중에서 하나를 선택하는 조합 논리회로이다. ... 디지털회로실험및설계 예비 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK Flip-Flop을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름1.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    )라 고 한다.디코더디코더는 코드화 된 입력을 출력으로 변환하는 다중-입력,다중-출력 논리회로이다. ... 디코더는 조합회로로서 n개의 binary 입력신호로부터 최대2^n개의 출력신호를 만들 수 있다.회로의 enable 입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 enable ... 예비 보고서인코더와 디코더 회로실험 목적Encoder와 Decorder의 기능을 익힌다.부호변환 회로설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.이론상태
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화 ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로설계해 보고, 최종적으로 4-bit 산술논리회로설계하여 시뮬레이션을 해 보는 ... Pspice를 사용하면 설계회로를 시뮬레이션 해볼 수 있고, 그전에 설계회로를 심볼화하여 심볼을 이용해 다른 회로설계에 이용할 수 있어 gate를 하나씩 설계하는 것보다
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 전기및디지털회로실험 실험8 예비보고서
    설계회로를 구성하고 그 동작을 확인하라.PAGE \* MERGEFORMAT2 ... 때에 어느 것을 ‘0’ 혹은 ‘1’로 설정하느냐 하는 것은 설계자의 임의로 정할 수 있다.높은 전압 상태를 ‘1’의 논리 값으로 할당하고, 낮은 전압 상태를 ‘0’으로 할당하는 논리 ... 어떻게 영향을 받는지 확인하고 이것이 보조입력단자의 기능설명과 부합하는지 관찰하라.(5) 예비보고서 1항에서 설계회로를 구성하고 그 동작을 확인하라.(6) 예비보고서 2항에서
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... IT CookBook, 디지털 논리회로. 조합논리회로. ... 설계하시오.a. if문 사용Source codePinPin testbench 시뮬레이션 결과 설계논리회로의 동작을 확인하는 모습- 실험 결과: 입력은 A(Button SW1),
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 충북대 진로탐색과 진로설정 5주차 워크시트
    전자회로 설계 및 분석, 디지털 시스템 설계, 신호처리 등에서 공간지능과 논리-수리지능은 핵심 역할을 한다. ... 생각한 이유를 서술(100자 이상)전기전가공학은 복잡한 회로와 시스템을 다루는 학문이므로 논리적인 사고와 수리적인 능력이 필요하다. ... 새로운 전자기기나 시스템을 구축, 설계하고 문제를 해결하는데 창의적인 사고가 필요하다.
    리포트 | 1페이지 | 1,000원 | 등록일 2024.05.31 | 수정일 2024.06.03
  • 실험6. 산술논리연산회로 예비보고서
    산술 논리 장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다. ... 명백히, 가장 복잡한 전자 회로는 펜티엄 같은 현대의 마이크로프로세서 칩 내부의 설계일 것이다. 그러므로 이런 프로세서는 내부에 강력하고 매우 복잡한 산술논리장치를 가지고 있다. ... 시프트는 2로 곱셈하거나 나눗셈하는 것처럼 해석할 수 있다.2.1.2 기수법-복합연산어떠한 연산도 가능한 산술논리장치를 설계할 수 있지만, 문제는 연산이 더 복잡해질수록 회로 복잡도
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 중앙대학교 아날로그및디지털회로 예비보고서7
    설계실습 계획서7-3-1 XNOR 게이트 설계 및 특성 분석AND, OR, NOT 게이트를 사용하여 각각 NAND, NOR, XOR, XNOR 게이트의 기능을 갖는 회로도를 설계하고 ... 분석(A) 각 게이트들을 사용하여 만든 2×4 디코더의 기능에 대해 설명하고, 진리표를 만들고, 회로도를 설계한다.디코더란 n개의 입력을 가지는 코드를 개의 서로 다른 정보로 출력할 ... 수 있는 조합 논리 소자이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2024.08.06
  • [건국대학교 논리회로 A+][2024 Ver] 12주차
    논리회로 실습과제12주차1. ... 앞으로 더 열심히 논리회로를 공부해야겠다. ... 실습 B-2① 회로도② 동작 상황고찰단순히 이론적으로만 공부하는 것에서 멈추지 않고, 지금까지 배운 플립플롭들을 이용하여 직접 순차회로설계하는 과정을 통해 한 단계 더 성장하는
    리포트 | 12페이지 | 5,000원 | 등록일 2024.08.14
  • [아주대학교 A+] 논리회로 기말고사 족보
    다음의 논리함수를 2-to-1 (2-input 1-bit output) multiplexers 만을 최소로 사용하여 설계하시오. ... 논리회로 기말고사 (2017년 1학기)? 풀이 과정을 명확하게 기술하지 않은 경우에 0점 처리함.1. ... 아래의 full adder를 active-low 출력을 가진 3-to-8 decoder 1개와 2개의 NAND gates 만을 이용하여 설계하시오.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • 시립대 전전설2 A+ 4주차 예비레포트
    설계능력 함양Module instantiation을 이용한 Structural modeling 설계 능력 함양조합회로를 always구문 안에서 behavioral 모델링으로 디자인하는 ... 표현을 이용한 조합논리회로 모델링에 이용연속 할당문의 지연값 지정assign 뒤에 지연 연산자(#)를 사용하여 지정우변 피연산자 값의 변화에서부터 그 값이 좌변에 할당되기까지의 시간 ... 다를 수 있음함축적 감지신호 표현@(*)을 사용 가능순차논리회로 모델링동기식 set/reset을 갖는 경우: 클록신호만 포함비동기식 set/reset을 갖는 경우: 클록신호, 셋,
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • 광운대학교 전기공학실험 실험7. 디코더와 인코더 결과레포트 [참고용]
    고찰본 실험을 통해 디지털논리회로 설계의 과정 중 NAND, NOR게이트로의 변환을 통해 공학적으로 더 나은 회로를 구성하도록하는 방법을 알 수 있다. ... 참고문헌- 디지털논리와 컴퓨터 설계 제 5판, CH3, 디코더, 인코더 참고.Enable, valid, combination logic design참고. ... 이를통해 설계회로의 올바른 동작을 검증할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • NAND와 NOR 게이트를 이용하여 AND, OR, NOT 게이트를 구현하시오
    서론디지털 논리회로 교과는 디지털 회로에 관한 기초적인 지식과 기능을 이론과 실습을 병행해 습득할 수 있고 전문적인 분야에서 디지털 회로 분석과 응용 혹은 설계할 때 활용할 수 있게 ... 디지털 회로에서 기본 논리회로 개념에 관하여 이해하고 관련 회로 분석과 설계를 위하여 기초적인 지식과 기능을 습득해 관련된 분야에서 활용하며 실무에서 현장 적응력을 높이는 것이 디지털 ... 논리회로 교과 목표이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.07
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... 하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어 ... A, B와 출력 D가 존재- 가산, 감산, 증가, 감소 등의 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • [A+레포트] 부울대수의 규칙(교환법칙, 결합법칙, 분배법칙, 드모르강의 정리)들을 각각 증명해보자.(단, 부울대수식은 변수 3개(A,B,C)를 모두 사용한다.)
    이는 논리 회로 설계의 효율성을 높이고, 복잡한 문제를 해결하는 데 있어 핵심적인 기술을 제공한다. ... 이는 NOT, AND, OR 연산의 관계를 나타내며, 논리 회로설계와 분석에 중요한 역할을 한다. ... 이는 논리 회로설계 및 분석에 필수적인 도구로 사용되며, 컴퓨터 공학과 정보 기술 분야 전반에 걸쳐 광범위하게 적용된다.
    리포트 | 5페이지 | 3,000원 | 등록일 2024.03.12
  • 디지털공학개론 ) 디지털 IC의 기본 특성을 설명하고, 기억소자를 갖는 조합논리회로와 기본 플립플롭 회로에 대해서 설명하세요
    종류로는 NOT, AND, OR, NOR, NAND, XOR, 반가산기/전가산기, 디코더/인코더, 그리고 멀티플렉서/디멀티플렉서가 있다.설계 방법으로는 Low 활성 논리 신호 및 두 ... 이 상태가 오래 지속되면 발열에 의해 소자가 파괴될 수 있기 때문에 회피 설계를 하게 된다. 2차 논리 레벨은 CMOS의 경우 0 ~ 0.3Vdd 가 Low, 0.7Vdd ~ Vdd가 ... 기억 소자를 갖는 조합논리회로먼저 조합논리회로란 메모리를 갖지 않는 회로로 출력값이 그 시점의 입력값에 따라서 결정되는 회로를 뜻한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.01.25
  • 부울대수의 규칙(교환법칙, 결합법칙, 분배법칙, 드모르강의 정리)들을 각각 증명해보자.(단, 부울대수식은 변수 3개(A,B,C)를 모두 사용한다.)
    서론부울대수는 디지털 논리논리 회로 설계에 중요한 수학적 도구로 사용된다. ... 이는 부울 함수를 단순화하거나 논리 회로를 최적화하는 과정에서 매우 중요한 개념이며, 디지털 논리논리 회로 설계에 대한 기초적인 이해를 제공한다.II. ... 이러한 규칙들은 부울 대수를 기반으로 한 논리 회로 설계 및 부울 함수 최적화에 중요한 개념으로 활용된다.
    리포트 | 6페이지 | 2,500원 | 등록일 2024.07.19 | 수정일 2024.07.22
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 10. 7-segment Decoder 회로 설계 A+ 예비보고서
    실습목적조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.10-2. ... : 1대 브레드보드(Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기 (Function generator) : 1대 점퍼선 : 다수10-3 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습9 4-bit adder 회로 설계 예비보고서
    실습 목적조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.9-2. ... (D) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로설계한다.(E) 설계회로중 하나를 선택하여 2Bit 가산기 회로설계한다. ... 아날로그 및 디지털 회로 설계 실습-실습 9 예비보고서-4-bit adder 회로 설계학 과 : 전자전기공학부담당 교수님 : XXX 교수님제출일 : 2020.11.XX(X)조 : X요일
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.06
  • 논리 게이트, 부울의 법칙 및 드모르간의 정리 예비레포트
    .(5) 규칙 10을 보여주는 회로설계하라. ... 「A 또는 B이다」라고 말한 인간의 표현을 기호(AND, OR, NOT 등의 논리연산자)를 사용하여 대수적으로 취급하도록 한 것이며, 이것을 응용하여 컴퓨터의 회로설계를 할 수 있다 ... 보고서 표 7-4에 설계회로도를 그리고 회로를 구성하라. 측정 결과를 근거로 표 7-4의 두 타이밍 다이어그램을 완성하라.6. Pspice simula윤승은)
    리포트 | 11페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감