• 통큰쿠폰이벤트-통합
  • 통합검색(6,485)
  • 리포트(6,034)
  • 시험자료(251)
  • 방송통신대(94)
  • 자기소개서(82)
  • 논문(16)
  • 서식(8)

"결과 시계반응" 검색결과 381-400 / 6,485건

  • 옥살레이트-철 착화합물 합성과 광화학 반응(서강대 일반화학실험)
    (노란색 부분은 씻김)④ 관찰결과를 사진 촬영한다.6.REFERENCEChemstry the central science 13판 p782~7907.DATA&RESULTS실험 A K3 ... (변화한 3가지 시험관 사진촬영)실험 D K3[Fe(C2O4)3]·3H2O 청사진① 실험C 에서 이미 제조한 K3[Fe(C2O4)3]·3H2O 남은 용액을 시계접시(혹은 Petri ... 결합된 리간드 종류에 따라서 또한 다르다.4.CHEMICALS&APPARATUSApparatus저울, 오븐, Hot plate, 비커, 눈금실린더, 삼각플라스크,Pipette, 시계접시
    리포트 | 8페이지 | 1,000원 | 등록일 2020.06.05 | 수정일 2020.11.27
  • [유기화학실험] Methyl Orange (메틸오렌지 합성)
    실험 결과실험 전 시계접시 + 거름종이의 무게 : 28.45 g실험 후 최종무게 : 36.04 g합성한 methyl orange의 무게 : 7.59 g36.04`g`-`28.45`g ... 나뉘는데, 첫 번째는 diazonium salt가 만들어지는 반응이고, 그 후 만들어진 diazonium salt로 짝지음 반응하여 methyl orange를 합성하는 반응으로 나누어진다 ... 짝지음 반응은 para 또는 ortho 위치에서 일어난다.
    리포트 | 4페이지 | 3,000원 | 등록일 2024.03.18
  • 탄산염들의 CO2 생성반응을 통한 일정성분비의 법칙 확인
    기록한다.(1분 이후로는 30초 간격으로 결과를 기록한다.)※ sample을 넣는 순간 초시계를 작동시킨다.(5) 마찬가지로 sample B 도 위의 (1)~(4)번 과정을 수행한다 ... 가지 다른 반응에서 생성된CO2의 성분이 일정하다면, 일정성분비의 법칙에 따라 다음과 같은 결과를 예상할 수 있다.Na2CO3에서 CO2가 빠져나갈 때, 무게가 (41.5)% 감소할 ... Note염산은 강한 산으로 피부나 옷에 묻으면 화상의 우려가 있으니 반드시 비닐 장갑을 착용하도록 하고 주의하여 다루도록 한다.기구 및 시약전자 저울, 50 mL beaker 1개, 초시계
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.21
  • 무의식 환자 간호 PPT
    , CSF가 배액 → Dr에게 보고 한다.기도흡인 예방 간호tracheal suction(개방성을 유지) → 1~2시간 마다 호흡음을 사정 ABGA와 pulse oxymetry의 결과 ... 대상자의 바른 자세를 유지 1~2시간마다 체위변경을 실시 수동적 관절범위 운동을 실시감각 인지 기능 촉진적절한 감각자극 촉진 시간, 장소, 사람에 대한 정보 제공 TV, 라디오, 시계 ... 증가시키는 증상을 사정/기록 과수분(overhydration)과 glucose 가 포함된 IV fluid는 뇌부종을 일으키키 때문에 피함 뇌부종을 감소시키기 위한 이뇨제 투여에 대한 반응
    리포트 | 15페이지 | 2,000원 | 등록일 2024.04.15
  • cr(acac)3 와 chelate
    기화되어 반응 플라스크를 빠져나가면 리간드 형성을 유도하는데 필요한 NH3가 적어지므로 빠져나가지 못하도록 시계접시를 덮어준다.5.1.2 실험결과 cr(acac)3- 아세틸아세톤은 ... 이 결합은 결정화 핵을 형성하고 성장시키는 데에 역할을 한다.- 시계접시로 덮는 이유는 요소 분해로 생성되는 NH3로 리간드 형성을 유도 하는데 NH2는 끓는점이 낮아 물에 용해 되지 ... filtering⑤ drying⑥ yield 계산◈ 실험 시 주의사항- 가열 시 뜨거움으로 화상에 조심해야 된다.- 80도 이상에서 합성이 진행되므로 온도를 떨어뜨리지 않도록 주의한다.결과값4.1
    리포트 | 9페이지 | 2,000원 | 등록일 2023.05.03
  • 성격장애간호진단 영화 제목 플랜맨. 정신간호학.
    이러한 평가 결과를 반영하여 목표와 간호계획을 수정할 필요가 없다.-주관적 자료“나는 회식같은데 가지 않아요”“왜 그렇게 하고 다녀?” ... -처음에는 힘들어하는 모습 관찰되었고 시계를 자꾸보는 모습 관찰되었다. ... 신호등 건너는 시간, 편의점 가는 시간 등 하루 일과를 오로지 손목시계의 알람에 의지한 채 살아가는 ‘플랜맨’ 한정석은 [세상에 이런 일이]에나 나올법한 유별난 인물이다.
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.26 | 수정일 2021.04.29
  • 스키너의 미신실험과 사례소개
    Pavolov)의 고전적 조건화에서 주장처럼 인간은 자극에만 반응하는 것은 아니고, 의식적으로 행동하며 복잡한 행동유형을 보이기 때문에 조작적 조건형성이 더 적합하다고 주장했다. ... 시계 반대 방향으로 도는 비둘기, 머리를 힘껏 흔드는 비둘기, 구석으로 머리를 들이미는 비둘기 등 먹이가 주어지기 전에 했던 행동을 반복하고 있었다. ... 이때 나는 마우스를 계속 흔들었는데 이는 컴퓨터의 작업 속도에 영향을 주지 않는 행동이지만 왠지 커서에 떠 있는 모래시계가 빨리 떨어질 것 같고, 컴퓨터의 실행 속도도 빨라질 것 같다는
    리포트 | 2페이지 | 1,000원 | 등록일 2021.08.22
  • 사회복지[사회복지조사론]-실험설계의 유형을 제시하고, 각각의 특성을 예를 들어 서술해 봅시다.
    연구대상을 실험집단과 통제집단으로 분류할 수 없을 경우에 사용하는 방법으로 동일한 실험대상에 대하여 실험변수를 도입하기 전과 후의 반응을 일정한 시차간격을 두고 반복적으로 결과변수를 ... 종류에는 2집단 사전사후 실험조사설계, 시계열 실험조사설계, 반복실험조사설계 등이 있다.1) 2집단 사전사후 실험설계실험단위를 무작위화하여 실험집단과 통제집단을 나누는 과정을 거치지 ... 타당성이 떨어진다는 한계점을 가지고 있으나 실험대상을 무작위로 뽑거나 외생변수를 제거 또는 통제하는 것이 어려운 상황에서 활용이 높아 사회복지현장에서 실제 자주 활용되고 있다.2) 시계
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.25
  • SK하이닉스 SW(소프트웨어) 직군 합격 자기소개서 (2)
    대학교 2학년때 처음 홀로그램 시계를 만들어보고 싶어서 시작한 프로젝트를 완성하며 IoT에 본격적으로 관심을 갖게 되었고, 라즈베리파이를 이용한 스위처를 만들어 보며 IoT에 대한 ... 팀원들에게 처음 아이디어를 설명하였을 때의 반응은 '나는 애가 없어서 잘 모르겠다', '부모님들은 스마트폰 잘 못 쓰지 않나?' ... (기존 방식과 본인이 시도한 방식의 차이 / 새로운 시도를 하게 된 계기 / 새로운 시도를 했을 때의 주변 반응 / 새로운 시도를 위해 감수해야 했던 점 / 구체적인 실행 과정 및
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.02.06
  • SK이노베이션 Battery개발 합격자소서
    (기존 방식과 본인이 시도한 방식의 차이/ 새로운 시도를 하게 된 계기/ 새로운 시도를 했을 때의 주변 반응/ 새로운 시도를 위해 감수해야 했던 점/ 구체적인 실행 과정 및 결과/ ... 첫 중간발표 때 교수님의 반응은 냉담했습니다. ... 발표날에는 시계를 준비해서 발표 중에 가끔 곁눈질로 시간을 확인했습니다.또한, 제가 긴장하는 이유 중 하나는 이야기할 주제를 완전히 익혔는지 확신할 수 없기 때문이었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2020.12.13
  • 성인 시뮬레이션 실습 사전지식_신경계
    결과를 냄-최고 15점, 최저 3점-총합이 7점 이하 시 심한 뇌손상을 의미항목반응점수눈 뜨기 반응(Eye opening response : E)자극 없이도 자발적으로 눈을 뜬다( ... 찡그리기, 주름 짓기, 뺨 부풀리기)-눈을 꼭 감게 한 후 의도적으로 안검을 열어 근력을 사정뇌교Ⅷ청신경-전정신경:평형-와우신경:청각-웨버검사, 린네검사-청력검사: 눈을 감게 한 후 시계를 ... 있는지 확인턱의 근육이 대칭인지 촉진-각막반사: 솜으로 각막의 모서리 부분을 접촉했을 때 눈을깜빡이면 정상뇌교Ⅵ외전신경-안구측면운동-3, 4뇌신경과 함께 검사자의 손을 1시 방향에서 시계바늘방향으로
    리포트 | 6페이지 | 2,500원 | 등록일 2024.01.02
  • [유기실2 A+] Exp. 2_Diphenylacetylene from Stilbene (Bromination of Stilbene/Elimination) 프리랩
    (가끔 흔들어준다.)⑦ 미리 여과지와 시계접시의 무게를 재어 기록한 뒤 product를 감압여과한다. ... 결과적으로 브릿지의 후면 attack 및 전면의 개방으로 anti-stereospecific addition 생성물, meso-1,2-dibromo-1,2-diphenylethane를 ... 빼고 R.B.F를 heating mantle에서 꺼내어 상온에서 식히고 ice bath에서 5분 더 식힌다.⑤ R.B.F에 증류수 18Ml를 넣고 흔들어 섞는다.⑥ 미리 여과지와 시계접시의
    리포트 | 3페이지 | 2,500원 | 등록일 2023.05.19
  • 서X대 일물실 1주차 리포트
    이때 평균적인 인간은 시각과 관련된 정보에 190ms 정도의 반응속도를 보인다고 한다. 190ms = 0.190초인데 우리가 실험하는 시간은 대략 1초 내외이기 때문에 이는 매우 큰 ... 초시계장점: 스마트폰 또는 시계만 있으면 어디서든지 데이터를 측정할 수 있다.단점: 초시계를 측정할 때 우리는 우리의 눈으로 보고 판단하게 된다. ... 증가에 따른 가속도 변화 그래프로터리 모션센서를 이용한 인터페이스 실험질량 증가에 따른 이동거리 변화 그래프질량 증가에 따른 속도 변화 그래프질량 증가에 따른 가속도 변화 그래프결과분석전반적인
    리포트 | 11페이지 | 1,000원 | 등록일 2021.05.04
  • [일반화학및실험] 크로마토그래피 A+
    .- 화합물의 종류에 따른 전개 경향 파악하기실험이론분리- 반응이 끝난 후 반응 혼합물 중에서 목적 화합물을 적출하는 것- 혼합물을 순물질로 나누는 작업- 미반응원료, 부생성물, 남은 ... Hyperlink "http://ko.wikipedia.org" http://ko.wikipedia.org : “크로마토그래피”, “얇은층크로마토그래피”- 일반화학실험책 49~51pg실험결과전개액의 ... 손목시계나 회중시계에 있는 지침 보호용 유리와 매우 흡사항 모양의 원형 유리조각- 먼지 제거용, 간단한 환류용, 점적판의 대용, 스푼테스트용으로 매우 용도가 넓다.- 이 실험에서는
    리포트 | 6페이지 | 1,500원 | 등록일 2020.06.12 | 수정일 2023.10.24
  • 전기회로설계실습 실습7 결과보고서
    오차의 원인으로는 DMM의 응답시간과 사람이 눈으로 읽기 때문에 생기는 반응시간의 차이를 들 수 있겠다.4.3 우선 DMM의 “Temp/-? ... 그 결과를 이용하여 DMM의 내부저항을 계산하여 제출하라. DMM의 내부저항의 크기가 이 정도라면 실제로 DMM을 사용하여 전압을 측정할 경우 무엇을 조심해야 하는가? ... DMM과 시계를 사용한 τ의 측정에 있어서 본인이 계획한 측정방법과 실제 측정방법에 차이가 있는 경우 그 차이를 분석하라. 계산한 τ와 실험한 τ의 오차 (%)는 얼마인가?
    리포트 | 5페이지 | 1,000원 | 등록일 2024.08.16
  • 화학 및 실험1 - 탄산염들의 이산화탄소 생성반응을 통한 일정성분비의 법칙 확인 레포트
    6주차탄산염의 CO2 생성반응을 통한 일정성분비의 법칙 확인-예비 및 결과 보고서-1. ... 두 가지 다른 반응에서 생성된 CO2의 성분이 일정하다면(일정성분비의 법칙이 맞다면), 일정성분비 법칙에 따라 다음과 같은 결과를 예상할 수 있다.Na2CO3에서 CO2가 빠져나갈 ... 관찰과 결과분석(1) 시료의 무게 (Y축) vs 시간 (X 축)에 대한 그래프(2) Na2CO3와 NaHCO3 가 HCl과 반응하여 생성되는 CO2의 이론적인 무게를 계산해보고 Sample
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.05 | 수정일 2023.03.23
  • 화학반응속도 결과
    결과리포트실험제목 :화학반응속도 실 험조 :학 번 :이 름 :1. ... 또한 물통(water through)와 산소 기체 측정관지막으로 과산화수소(H _{2} O _{2}) 1ml를 넣어줌과 동시에 초시계로 시간을 측정하였다. ... 이 때 결과값의 각 대조군끼리의 비로 반응차수를 구한 뒤 평균 내어 대략적인 반응차수를 구하였다.경우반응차수 m2ml4ml6ml8ml10ml총 평균농도1ml & 2ml0.60270.13490.10530.0909
    리포트 | 10페이지 | 1,500원 | 등록일 2020.04.22 | 수정일 2020.06.24
  • [a+취득자료] 노화이론에 대하여 비교설명하시오.
    프로그램 이론은 수정에서부터 이루어지는 ‘생체 시계’의 개념을 주장한다. ... 이러한 사회교환의 결과는 보상 혹은 자기만족으로 표현되며, 교환에 사용되는 비용에 따라 평가된다. ... 이러한 사회 속에서의 교환관계의 결과 노년의 사회적 상호작용이 점차 줄어들고, 점차 노인의 권력이 저하된다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.09.14
  • 선별검사와 진단검사의 차이점을 비교기술하고 장애진단 검사와 교육진단 검사에 대해 설명한
    시계 검사,?음차 검사,?행동 반응검목표,?경악 반응검사,?기물음에?의한 검사,?변별능력 검사, 소리굽쇠 검사,?반사 청력검사,?유희 청력검사,?조작 조건 청력검사,?행동 관찰? ... 검사 결과를?토대로 환자의 질병 진단이나 치료방침 등에 도움을 줄 수 있도록 객관적이고 전문적인?검사 결과를? ... 제공하는 과정이라고 볼 수 있다.3) 차이점결과적으로, 일반 외래 검진에서 처음으로 시행하는 것은 선별 검사라고 지칭한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.23
  • [경영지도사 1차] 조사방법론 핵심정리(서브노트)
    측정대상과 측정시기만 통제 가능1) 두 집단 사전사후측정실험설계2) 시계열 실험설계3) 동질적 시계열 실험설계4) 통제집단 시계열 실험설계3. ... 외적타당성에 영향을 미치는 요인1) 반작용효과(=반작용 내지 반응)조사나 측정과정이 원이이 되어 측정하고자 하는 응답자의 속성 또는 특성 자체가 변해버리는 것2) 차별적 선정과 추출조사대상자의 ... 예상치 못한 변수를 통제하지 못하는 단점○ 기술조사- 객관적으로 기술한 자료를 모아서 연구를 하려는 것- 횡단조사, 시계열조사, 종단조사 3가지 유형으로 구분* 시계열조사와 종단조사를
    시험자료 | 23페이지 | 4,000원 | 등록일 2020.03.24
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감