• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 321-340 / 419건

  • [통신]IEEE802.XX
    d1id=2&docid=20528"있다. ... d1id=1&docid=394" 정보통신 분야의 국제적인 전문 기술 단체이다. ... d1id=2&docid=4191" 동기식 광통신망(SONET)과 함께 통신망 고속화에서 반드시 필요한 기술이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2006.06.21
  • 하이닉스 기업분석
    도전하고 있습니다.DRAM 생산업체중에서도 특히 우수한 R&D 연구인력을 확보하고 있는 하이닉스반도체는 현행 제품은 물론 차세대 초고속 DRAM인 ADT(Advanced DRAM ... 초고속 DRAM 개발과 생산을 선도하고 있으며, 지속적인 R&D 육성과 Technology Leadership 확보를 통해 꿈의 반도체라 불리우는 Giga/Tera Bit Memory에 ... IC 제품은 마이크로컴포넌트, 로직(Logic) IC, 메모리(Memory) IC 그리고 아날로그(Analog) IC 등으로 이루어져 있으며, 이 중 메모리 IC는 2006년도에 US
    리포트 | 10페이지 | 1,000원 | 등록일 2007.09.01
  • [컴퓨터]80186, 80188 프로세서
    설정된 값을 벗어나는 경우 요청됨▷ 미사용 OP 코드 인터럽트 : 80186/80188이 정의되지 않은 OP 코드를 실행할 때 발생▷ ESC OP 코드 인터럽트 : ESC OP 코드 D8H-DFH가 ... ..PAGE:1The 80186, 80188 and80286 MicroprocessorsMade by PJHINTRO..PAGE:2서 론80186/80188/80286은 이전의 8086 ... 80C188XL Mp 핀 아웃188XL은 68핀 LCC나 PGA 패키지로 됨.▷ 16-3은 LCC 및 PGA 패키지 그림Pin-Out..PAGE:19Pin-Out (Fig.)16-2 &
    리포트 | 80페이지 | 1,500원 | 등록일 2006.02.11
  • 74HC138 을 이용한 LED순차점등회로 보고서
    Active Low 이므로 Logic 값이 Low 일 때 LED가 ON이 된다. ... 즉 n 2n 디코더는 n개의 입력이입력이 3개, 출력이 23 = 8개인 해독기로서 AND게이트로 구성되어 있다. 이것은 2진수를 8진수 또는 10진수로 변환할 때 사용된다. ... 그 사이 Switch X의 값이 변화하지 않고X = 1 으로 계속 주어지면 LED D에서 멈추어 LED D 가 계속 켜져있게 된다.
    리포트 | 21페이지 | 2,000원 | 등록일 2007.12.06
  • [컴퓨터의 이해, 컴퓨터 활용]컴퓨터 부품 소개 및 용어 정리
    빠른 검색 속도와 정확성, 광범위한 검색 범주, 연산자(and, but, near) 및 필드 검색 지원 등으로 뛰어난 검색 엔진으로 평가받고 있다. ... 비교, 판단, 연산을 담당하는 논리연산장치(arithmetic logic unit)와 명령어의 해석과 실행을 담당하는 제어장치(control unit)로 구성된다. ... Date Rate)는 속도가 느림D램의 특성을 보완한 제품이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.04.07
  • [포트란] 포트란 내용정리
    Introduction to Computing1.1 computer organizationbit와 bytebit (binary digit) : 연산의 최소단위, 0과 1로서 정보를 ... Integer constanta. 0-9b. (+), -c. decimal point 불허d. ... 처음글자는 영문자c. real declaration (실수선언)-->실수화ex) real v1, v2d.
    리포트 | 45페이지 | 1,000원 | 등록일 2004.01.27
  • [전자공학 ] VHDL으로 7 segment 디코더 설계
    bitadder of bitadderentity isbeginprocess(ain,bin)beginif (ain="00" and bin="00") thenbitout ... : in std_logic_vector(1 downto 0);bitout : out std_logic_vector(2 downto 0));end bitadderentity ;architecture ... e, g 는 "1", c, f, dp는 "0"을 출력하고, common 단자 d, e, g 는 "0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야
    리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • [정보통신]정보통신OHP06
    & Computer Communication06 데이터 링크 제어Computer & Communication LAB.석 경 휴◈ 효율 적인 통신을 위해 필요한 사항? ... Data Communication & Computer CommunicationDept. of Computer Engineering of Chosun UniversityData Communication ... 플래그 필드12…8910…16마지막 옥텟 8n00…1(a) 프레임 형식 (b) 확장된 주소 필드(c) 8 비트 제어 필드 형식 (d) 16비트 제어 필드 형식HDLC 프레임 구조?
    리포트 | 44페이지 | 1,500원 | 등록일 2006.09.25
  • [전자전기실험]전자전기실험 예비리포트 TTL특성 및 응용실험
    사용법을 익힌다.이 론TTL ICTTL(Transistor-Transistor Logic)은 바이폴라 트랜지스터를 사용하여 만든 디지털 로직 IC이 다. ... {{{{{{전자전기실험(4)실험4 TTL 특성 및 응용실험실험목적TTL(Transistor-Transistor Logic)로 구성된 디지털 IC의 특성 및 동작을 이해한다.TTL IC의 ... 한편, 그림9(b)는 L신호를 받은 소자 C의 출력 H신호가 2.4V 밖에 안되며 또 소자 D는 2V까지 내려가면 동작하여 버리는 것이라 하면 이 상태에서 D 의 출력은 L레벨로 되지만
    리포트 | 12페이지 | 1,000원 | 등록일 2005.10.26
  • [컴퓨터]CPU, OS의 역사와 종류
    ALU는 마이크로 프로세서에 전달된 실제 데이터의 조작과 계산을 수행하는 것으로, 덧셈, 뺄셈, 논리 연산인 OR, AND, 데이터의 2진값 처리 등을 수행하므로 모든 CPU에서 계산을 ... 286, 386, 486, 펜티엄과 같은 명칭을 사용하기도 하는 것이다.CPU의 구조마이크로프로세서(micro processor)에서 중요한 요소로는 크게 ALU(Arithmatic Logical ... Mfg. date : week 50/1980Intel D8088-2? Processor Speed : 8.00 MHz? Bus Speed : 8.00 MHz? FPU : no?
    리포트 | 61페이지 | 2,000원 | 등록일 2006.05.05
  • [Nano Imprinting] Applications Of Nano Imprinting
    Prof " Soft Lithography" - 1998, 37,551-575● D.Yeol Ryu, D.JUN Lee, and Jin Kon Kim " Effect of Hydrostatic ... "Nanoimprinting Technigue and its Applications" - CBIMMS Invited Seminar● 이재종, 장원석 " 나노스케일 3D현상 패터닝 기술 ... REFERENCE● L.Jay Guo, Ph.D.
    리포트 | 7페이지 | 2,000원 | 등록일 2005.05.22
  • [영어학개론]영어 접두사와 접미사
    )* nobel (고귀한) ↔ ignoble (비천한)* legal (합법적인) ↔ illegal (불법적인)* literate (글을 아는) ↔ illiterate (문맹의)* logical ... [명사에서] thing/place of sth 특정 종류의 사물과 관련된/포함한 사물/장소 a library|d-I 1. people/language 특정 장소/나라의 사람/언어, ... 기술과 관련있는 (concerning technology)technocracy(=rule by skillde specialists)|technophobia(=dislike of computers
    리포트 | 12페이지 | 2,000원 | 등록일 2006.03.26
  • 3D 컴퓨터 애니메이션과 영상3
    3D 컴퓨터 애니메이션과 영상목 차자유형 곡면 논리 연산자와 절단면 고급 모델링 유틸리티 자연 현상의 절차적 묘사 준비하기자유형 곡면수학적으로 정의되고 고도의 면 조절기능을 제공 매개변수 ... operator) - 다양한 방식으로 형태를 더하거나 빼는 방법으로 모델을 생성 - 합집합(Union-and), 교집합(Intersection-or), 차집합(Difference-not ... 모델의 표면간 틈새를 최소화하는 방법으로 정렬 - 최소화의 방법 : 채우기(Filler), 단순형 보간법 등관절부의 자세한 모델 및 부풀어 오른 피부논리 연산자와 절단면논리 연산자(logical
    리포트 | 28페이지 | 5,100원 | 등록일 2007.11.09
  • [실험보고서] 10진 카운터 제작
    D - 데이터 래치4. SENSE - 전류 감지5. VCO - 전압조정 주파수 발생기6. FA - 전 가산기( Full adder )7. ALU - 논리연산 유닛8. ... Logic gate는 논리연산을 하는 회로요소를 말한다. ... Logic gate는 논리연산을 하는 회로요소를 말한다.
    리포트 | 21페이지 | 1,000원 | 등록일 2003.12.21
  • [물리학 ] 양자컴퓨터의 모든것
    3.4 2 6 9 1.2 2.5 5 7 3 2 1.5 5 3 8 4 2.9 4 1 7 2 5.7 1 4 6 8A B C D F=4 5 2 3 9OTL…Present of computer ... NOT gate + OR gate → NOR gate Quantum Computing Blend or Superposition of classical states (logical ... ) F(110) F(111)Quantum Computer vs Electric ComputerClassical Computing AND gate + NOT gate → NAND gate
    리포트 | 62페이지 | 2,000원 | 등록일 2005.06.09
  • [컴퓨터공학]상용 UNIX 계열 운영체제 조사
    Rollback Function (JFS2 파일 시스템 전용) (5300-03), Geographic Logical Volume Manager (GLVM), mirscan 명령어, ... 대체하는 Service Management Facility (SMF), and iSCSI, GNOME 기반의 Java Desktop System지원,x64(EM64T,AMD64)지원기 ... 퓨마는 치타보다 응용 프로그램과 3D 그래픽 성능이 향상되었고, DVD 재생 프로그램이 추가되었으며, 프린터, 카메라, 저장장치 등의 드라이버 지원이 확대되2006에서 공개(2007년
    리포트 | 10페이지 | 1,500원 | 등록일 2007.03.27
  • 전자 종이(E-paper)
    : 전기장이 가해지지 않은 상태 빨강의 오일이 기판에 고르게 퍼져있어 반사되는 빛이 오일의 색을 나타낸다 (c) : (a)를 위에서 본 그림 전기장이 가해졌을 때 : (b)와 (d) ... 띠게 하여 전기적 신호에 따라 입자의 이동을 일으켜 의 가능성을 보여줌. 2003년 매우 얇은 (두께 0.3mm ,너비 7.6cm) 전자종이 발표. 2004년 영국의 Plastic logic ... 픽셀사이즈, 오일의 점도 등의 함수4개의 pixel에 구현된 반사형 전기습윤방식Full color electrowetting displaysCyan, magenta, yellow and
    리포트 | 31페이지 | 3,000원 | 등록일 2007.11.07
  • 컴퓨터의 역사와 주요 인물의 업적들
    Ph.D. Papers in logic, algebra, number theory1938-39: Return to Cambridge. ... : Manchester University1949: Work on programming and world's first serious use of a computer1950: Philosophical ... Undergraduate at King's College, Cambridge University1932-35: Studies quantum mechanics, probability, logic1935
    리포트 | 3페이지 | 1,000원 | 등록일 2003.05.30
  • [사례기반추론]사례기반추론_상세
    Case , Lecture Notes in Computer Science, 482~487, 2001. ... 방법 - 그래프 표현법(Graph) : 사례 노드와 아크로 표현 - 계획 표현법(Plans) : 사례  순서대로 나열된 업무로 표현 - 명확한 로직 표현법(Predicate Logic ... www.scms.rgu.ac.uk/research/kbs/kacbd/ Decision Support System for Shadow Mask Development Using Rule and
    리포트 | 14페이지 | 2,000원 | 등록일 2005.06.28
  • [디지털논리회로] 디지털논리회로실험예비레포트
    low 이며 그 외는 출 력이 logic high 이다.⑩Pull-up: 저항사용을 다하고, 입력에 AND 또는 NAND가 사용되지 않고 high 논리 레벨(5Vdc).⑪threshold ... 저장하거나 정보의 흐름을 제어하기 위해 많이 사용된다.다음은 다양한 플립플롭에 대한 특성표와 기호 및 회로도의 예입니다.예1 : 비동기적 s-r 래치예2 : 동기적 s-r 래치예3 : d ... 그러나 다른 JK flip-flop은 positive clock edge, logic1, 또는 logic0 클럭 신호를 요구하기도 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2003.08.29
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감