• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 261-280 / 419건

  • 미래의 사용자 인터페이스 - Natural User Interface
    Goal of UI시대적인 변화에 따라 개발자의 입장이 아닌 사용자의 입장에서 쉽게, 빨리 배우고 인식 할 수 있어야 함HardwareOS (Core + Logical Interface ... 비트맵을 평평한 표면용 텍스쳐로 사용 비트맵을 버퍼링시킨 표면에 넣고 그에 따라 3D 공간에 2D 비트맵을 내놓음*Wobbly Window UIsXGL*Multi-touch UIsTouch ... *Future User Interface - Natural User Interface -10000001 홍길동발표자 :*목차Definition of UI Necessity and Goal
    리포트 | 15페이지 | 1,000원 | 등록일 2008.07.05
  • 논리회로실험 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder)Ⅰ. ... 예비보고서(1) 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logicdiagram을 그려라(디코딩된 출력은 logic "1"이 되게 한다).(2) 그림 9에서 ... +D5+D6+D7)② 출력 Y : 입력 8진 digit가 2,3,6,7이면 1 (Y=D2+D3+D6+D7)③ 출력 Z : 입력 8진 digit가 홀수이면 1 (Z=D1+D3+D5+D7
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.20
  • 결과보고서-Exp 3. Digital Design Using Microcontroller (1st week).hwp
    while expression and timer, program can handle with time. ... Machine cycle} // (12+2+91*10)*1.0851us=1002.63usvoid main(void){ // Main함수SRRW1|=0x02; //7 Segment logic의 ... 플래시 메모리)와 인터럽트 제어기, 직렬 및 병렬 인터페이스, 카운터/타이머 등을 내장하는 정도였는데, 최근에는 DMA제어기와 같은 고성능의 I/O기능, 고속의 직렬통신 기능, A/D컨버터
    리포트 | 10페이지 | 2,000원 | 등록일 2010.10.11
  • 컴퓨터 개론 2강 연습문제
    컴퓨트(compute) D. 논리 오류(Logical error)10. 부동소수의 저장에서 지수부를 Excess_127방법을 사용하여 저장하는 것은? BA. 배정도 형식 B. ... 부울대수에서 논리곱 연산자 AND는( ㆍ )(으)로, 논리합 연산자 OR는 ( + )(으)로, 논리 부정 연산자 NOT은 (´ )(으)로 표시한다.10. 2진수와 8진수의 관계에서 ... CA. 395 B. 396C. 378 D. 4044. 이진수 0110의 1의 보수는?
    리포트 | 6페이지 | 1,000원 | 등록일 2009.06.23
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    BCD-to Excess-3 code converter on Spartan B/D*The code converter belongs to combinational logic systems ... 우수- VHDL의 process문 사용▶ Dataflow or RTL Modeling- 각 문장이 병행적 수행(회로의 각 구성 요소 작동 표현)- 부울함수, RTL, 또는 연산자 (AND ... _1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity bcd2excess3 is-- bcd2excess3라는
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 컴퓨터를이용한계측실험
    연산 장치(ALU : Arithmetic and Logic Unit) : 계산을 담당한다.-연산 장치는 산술 연산 및 논리 연산을 수행하는 장치이다. ... DI 단자를 터미널보드의 GND & DI0 단자와 연결한다. ... DI 단자 를 터미널보드의 GND & DI0 단자와 연결한다.
    리포트 | 17페이지 | 1,500원 | 등록일 2010.04.18
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    다음과 같다.74LS138의 logic diagram 과 function table 2. ... 즉 한 개의 입력을 여러 개의 출력 중 하나에 연결하는 회로가 된다.회로의 원리는 먹스와 마찬가지로 입력 D를 어떤 AND 게이트로 선택하는가에 따라 4개의출력 중 하나로 나오게 된다 ... 실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩PRELABDescribe the
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • 7-2 A Lifetime of Learning to Manage Effectively
    identify alternatives in a clear, logical fashion, and, finally, to teach them to make an intelligent ... a great deal about analyzing strategies, dissecting balance sheet, and using computers-but who still ... 좋은 언변과 문장의 의사소통 기술들은 만약 누군가가 유능한 경영자가 되려한다면 절대적으로 필수적인 것이다.D.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.19
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    c, d : std_logic:='0';--excess-3된 입력신호에 대해 4bit를 따로 저장해둘 변수beginprocess(ex, i)begincase i is--입력된 신호를 ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[Decoder and Encoder]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1. ... _1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity hdl isport( i : in std_logic_vector
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 기본논리회로 및 부울 대수, 회로의 간소화 및 XOR 회로
    참고문헌o Logic and Computer Design Fundamentals(3rd) 中 ... Exclusive-OR(XOR)o logical operation : XY=X+Yo truth table o distinctive shapeXYF000011101110o 두 개의 입력 ... Binary Logico AND(XㆍY=Z) : 논리 연산 ‘AND’는 X=Y=1일 때만 Z=1이고, 그렇지않을 경우 Z=0이다.o OR(X+Y=Z) : X=1이거나 Y=1이면, 또는
    리포트 | 12페이지 | 1,500원 | 등록일 2008.10.03
  • AVR(ATMega128)을 이용한 이론 및 관련 소스
    RS가 H, R/W가 L일 경우 ‘우리가 DR을 사용하려면 RS는 Logic High, R/W는 Logic Low 상태가 되어야 한다.텍스트 LCD를 제어하기 위한 명령어1. ... ATMega128 ArchitectureATMega128의 특징ATMega128 마이크로 컨트롤러는 ATMEL사에서 만든 8비트 RISC(Reduced Instruction Set Computer ... A/D 변환 결과단극성 입력 차등 입력④ A/D 변환 완료? ADC 변환이 완료되면 변환값을 ADCH/L 에 저장⑤ A/D 변환 완료 인터럽트 요청?
    리포트 | 24페이지 | 2,000원 | 등록일 2008.12.25 | 수정일 2020.02.25
  • [토끼] Synchronous MOD 12 Counter, 10 Counter, N Counter 설계 및 구현, 검증
    Count에 값에 관계없이 Load가 1일 때 D입력에 가해진 데이터는 플립플롭에 로드된다. 왜냐하면 Load는 Count와 AND되기 때문이다. ... 논리구성이 각각의 플립플롭을 조정하여 카운터를 만들기 때문에 조정논리(steering logic)이라고 하며 제대로 동작하는 것을 확인 할 수 있다. ... 일반적으로 출력은 Q 만쓰고 Q' 는 사용하지 않았지만 AND게이트와 OR게이트와의 조합을 통해 다운 카운터를 구현 할 수 있었다.
    리포트 | 36페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • 디지털논리회로실험 - 제 10장 플립플롭
    논리실험기 (Digital Logic Lab. Unit)? 오실로스코프? 7404 (6조 Inverter)? 7408 (4조 2입력 AND Gate)? ... (Combinational logic Circuit)와는 달리 입력신호 이외에 현재의 출력상태 일부가 입력으로 피드백되어 최종 출력을 결정하는 회로. ... 실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2.1 플립플롭의 이론순차 논리회로(Sequential Logic Circuit)는 입력에 의해서만 출력이 결정되는 조합논리회로
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • 하드웨어의 과업 처리 방식에 따른 분류와 소프트웨어의 종류별 분류.
    술 논리 연산 장치(ALU; Arithmetic and Logic Unit)은 산술적인 연산과 논리적인 연산을 담당하는 장치로 가산기, 보수기, 누산기, 기억 레지스터, 데이터 레지스터 ... 명령어는 순서대로 실행된다.d. CPU와 주 메모리 간에 통로는 하나이다.그리고 병렬처리 컴퓨터는 명령어 및 데이터를 병렬로 처리할 수 있는 머신이다. ... 병렬 컴퓨팅은 여러 형태들이 있는데, bit-level, instruction level, data, and task parallelism이 있다.
    리포트 | 12페이지 | 4,000원 | 등록일 2010.01.18
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    V H D L 소 스4. 동 작 원 리5. 사진 / 기타자료6. 제 작 후 기1. ... ;BEGINPROCESS (CLK, CLK_100S, CLK_1S, CLK_10S)BEGINIF CLK'EVENT AND CLK = '1' THEN -- 분주를 시키기 위한 설정 - ... 0시부터 23시까지(AM / PM을 모두 감싸 안을수 있는), 알람, 시간 조절, 스톱워치 기능 등을 넣을수 있었다.Segment :LED를 8자 모양으로 배치 시켜 놓은 a b c d
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • Closing The Circle - Speed, Timing, and Effectiveness
    스마트카드 발명이 12년간 표류했던 것과 달리 Apple Computer는 좋은 출발을 보이고 그 자체로 산업적 루틴이 되었는데, Steves Jobs and Wozniak은 제품에 ... 트랜지스터(1940에 착상, 단계는 최고로 효율적인 관리가 필요- 실제로는, 이것은 가능한 많은 자원을 조립하는 것과 다운스트림 단계에 많은 정보를 수집하는 것, 목적을 위해 R&D의 ... 통합이 빠르고 근접 할수록, 결과가 더 좋다는 연구 결과가 미국과 일본에서 사실 증명- 제품 디자인의 초기 단계에 R&D와 마케팅 사이의 근접한 협력은 사이클 타임과 프로젝트를 이행하는데
    리포트 | 19페이지 | 3,000원 | 등록일 2010.05.10
  • Shift Register & Division Circuit
    따라서 74LS161 각각이 10카운터 동작을 하기 위해 Data Input A, B, C, D 모두를 Low로 인식하게 하였으며, 첫 번째 161칩의 출력에 AND GATE를 연결하여 ... 직렬 입력 직렬 출력 시프트 레지스터처럼 배열과, 데이터 입력처럼 D1은 이제 동작한다. ... 6주차 기초전자공학실험2기초전자공학실험2실험날짜: 2008, 10, 31조 : 금요일 오전 10 조1.TitleShift Register & Division Circuit2.Name금요일
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • [반도체 산업] 삼성전자 반도체 산업의 분석
    이에 따라 최근 삼성은 그룹차원에서의 R&D 역량 강화에 집중하면서 2007년 현재에는 매출액의 10%에 다다르는 6조1400억원의 자본을 R&D 파트에 투자하고 있다. ... , R&D, 기술력, 마케팅, 기업문화인적자원인재확보능력 조직구조 리더십삼성의 핵심역량 파악핵심역량을 바탕으로한 전략의 방향 제시전략 및 결론표, 그림Reference서론과거, 반도체 ... 기술력을 성공의 핵심요인으로 볼 수 있는 비메모리 시장에서 삼성은 이러한 자본력을 바탕으로 R&D파트로의 과감한 투자를 통해 기술력을 높일 수 있을 것이다4.
    리포트 | 33페이지 | 2,000원 | 등록일 2009.02.08
  • 한국가요 표절시비(New Genre “Plagiarism”)
    in Korea and various computer other office works as we know. ... Personally, I’d like to point out a few things about this issue.First, YG family and the singer have ... Without reasonable and logical thoughts, your behavior is nothing but a meaningless ‘worship.’Last but
    리포트 | 2페이지 | 1,000원 | 등록일 2009.11.24
  • 실험 / Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    Flop위의 그림은 에지 트리거 D 플립플랍이다. ... [Combinational Logic]A. How are Boolean functions simplified through K-map? Explain the reason. ... [Flip-Flop & Counter]Q1.
    리포트 | 18페이지 | 4,000원 | 등록일 2009.12.23
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감