• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 241-260 / 419건

  • 자동화응용실험보고서(PLC).
    양쪽공기의 흐름을 완전히 차단시켜주는 콕크와 같은 밸브AND?2개의 입구에 모두 공기신호가 있어야만 출구A에 공기신호가 존재하게 된다밸브의 분류3. ... 밸브의 형태와 회로이다.A : 회전실린더A1A2AY1AY2BY1BY2B1B : 수평실린더B2C : 수직실린더C1C2CY1CY2D3D1D2DY1DY2D : 로드레스 실린더그림b) 수평 ... PLC(Progammable Logic Controller)의 배경자동화 라인을 구성하고 있는 단위기계의 기본요소는 기계구조를 위주로해서 액추에이터, 검출기, 그리고 프로세서(process
    리포트 | 18페이지 | 2,000원 | 등록일 2010.04.24
  • M bit 가산기와 비교기(VHDL코드)
    4비트 fulladder를 이루는 2개의 2비트 fulladder중 하나를 정의하기 위해 사용되었다.port( c, d : in std_logic_vector( 1 downto 0 ... 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx ... ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩PRELABDon’t describe the full adder and half adder
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • ad컨버터의 개념과 동작원리 분류 특징
    제어 로직( control Logic )은 MSB(mostsignificant bit)부터 시작하여 모든 비트를 시험할 수 있도록 D/A변환기를 동작시킨다. ... result &= ~StepBar;????}??}??DIGITAL = result;}?DWORD Vpow(WORD s, WORD t){DWORD result = 1;?? ... A/D CONVERTERc o n t e n t s1. A/D converter 정의, 개념2. A/D컨버터의 분류3. A/D 컨버터의 응용분야4.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.03.03
  • 실험 7. Decoder & Encoder
    초기입력 6개를 차례로 A, B, C, D, E, F라 하고 출력은 위에서부터 W, X, Y, Z라 하고 표를 만들었다.출력입력pin 1pin2pin3pin4W111A'XB'C'D'E'Y1B'C'F'Z1B'D'F'A부터 ... 예 비 보 고 서(1) 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logic diagram을 그려라(디코딩된 출력은 logic '1'이 되게 한다).그림 95와 ... 아래 그림은 카운터의 모든 값(0~7)을 디코딩하는 logic diagram이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2009.03.10
  • 컴퓨터구조 1장 노트정리
    A=0 , B=0 : Physical name&A=011010 : Logical name? ... Computer Architecture- 1장 노트정리 -2009. 9. 7 (월)? Computer : 계산기 + 정보처리 = Digital System? ... 논리게이트논 리 : 0 , 1gate : 입력 & 출력변 수 : 기억장치변수명 : 기억장치이름?조합회로 디코더인코더멀티플렉서2009. 9. 9 (수)?
    시험자료 | 7페이지 | 1,500원 | 등록일 2009.09.28
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    4비트 fulladder를 이루는 2개의 2비트 fulladder중 하나를 정의하기 위해 사용되었다.port( c, d : in std_logic_vector( 1 downto 0 ... 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx ... ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩PRELABDon’t describe the full adder and half adder
    리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • [SCM]SCM개요, 전략, 구축방법론
    중요 고객 : C1D2의 중요 고객 : C1 C2C4D3의 중요 고객 : C3 C4안전 재고량 기반 ATP Logic (1)안전 재고량 기반 ATP Logic Step0. ... suppliers Store hardware Store computer POS registers linked to store computer Graphic Order Terminals ... DC별 재고 데이터 업데이트 :안전 재고량 기반 ATP Logic 적용 예제 (1)Step 3.
    리포트 | 203페이지 | 3,000원 | 등록일 2008.07.01
  • [논리회로] 논리회로 - Chapter 3 PROBLEMS solution
    Find the truth table for F and G of the circuit in Figure 3-44 by using logic simulation.3-11. ... of Problem 3-46(a), as well ad other logic or functional blocks, as necessary. ... In other words, obtain a logic diagram whose output is equal to 1 when the inputs contain any one
    리포트 | 6페이지 | 1,000원 | 등록일 2004.11.06
  • [논리회로실험] decoder와 encoder (예비)
    Decade Counter and decoder같은 실험을 output 7(9번 핀)에 대해서 반복한다. ... 예비과제1) 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 LOGIC DIAGRAM을 그려라. ... (디코딩된 출력은 LOGIC '1'이 되게 한다.)그림 9. 3-stage binary counterABC4 5▶ 입력은 A, B, C로 되며 입력 값이 5또는 6일 경우는 해당 출력의
    리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • BCD to EXCESS-3 변환코드(VHDL)
    변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer ... bcd는 입력신호명이며, 데이터형은 logic을 가진다. 3 downto 0는 4개의 배열값을 0,1,2,3으로 지정한 것이다.excess3 : out std_logic_vector ... Data Flow Model이 두가지는 프리랩에서 자세히 다룬다.PRELABSpecify the VHDL codes for assignment1 and describe the codes
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • [논리회로] 논리회로 - Chapter 4 PROBLEMS solution
    A sequential circuit has three D flip-flop A, B, and C, and one output X. ... A sequential circuit with two D flip-flop A and B, two inputs X and Y, and one output Z is specified ... Design the circuit with D flip-flops.4-27.
    리포트 | 7페이지 | 1,000원 | 등록일 2004.11.06
  • 컴퓨터 프로그램언어의 특징과 종류
    %d",&start,&end);while(1){for(j=1;j10, otheres=>0);index: integer;beginindex:=1;while index (defun count-score ... 인공지능 분야에 많이 사용되는 언어.프로그램을 논리식으로 기술하고 논리적인 추론규칙의 적용을 계산프로세스로간주한다는 논리프로그래밍의 대표적인것의 하나. programming in logic을줄인 ... 점이다.○ Source Code#include void main(){int start,end;int j,k,index;printf("구구단 번호를 입력하세요>");scanf("%d
    리포트 | 14페이지 | 1,500원 | 등록일 2011.06.06
  • [논리회로] 논리회로 - Chapter 2 PROBLEMS solution
    Draw the logic diagram for the following Boolean expressions. ... and applying the selection rule: (a) F(A, B, C, D) = BD + ABC + ACD + ABC + ACD (c) F(W, X, ... AC + BD + ACD + ABCD (c) (A + B + D)(A + D)(A + B + D)(A + B + C +D)2-22.
    리포트 | 7페이지 | 1,000원 | 등록일 2004.11.06
  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    토의Decoder로 코딩하는 것은 입력값을 STD_LOGIC_VECTOR가 아닌 다른 문자들을 넣어주어야 했기 때문에 2주 전에 실습했던 것과 약간 달랐다. ... AND GATE로 위에서 설명했다시피, AND GATE 후에 NOT GATE를 취한다. ... VHDL 코드 구성And, Or, Not Gate를 이용한 회로의 VHDL표현 And, Or, Not Gate를 이용한 회로의 표현은 무난하게 할 수 있다.3.
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • Design of Basic Computer
    +D5T5* CLR : RT1* INR : R'T1+RT2+D6T6+DR 레지스터BUSAdder and Logic Circuit, AC 레지스터* LOAD : D0T5+D1T5+D2T5 ... Design of Basic Computer1. ... Basic Computer 의 하드웨어 구성요소가) 16bit의 4096워드를 가진 메모리 장치나) 9개의 레지스터 : AR, PC, DR, AC, IR, TR, OUTR, INPR
    리포트 | 16페이지 | 2,000원 | 등록일 2008.06.09
  • 영어 프리젠테이션, 이제는 자신 있다
    Do you think there is any connection between transistor-transistor logic (TTL) and complementarymetal-oxide ... 구두 발표 요령 - 구두d 10 m 3 ten cubic meters ten meters cubed 50 km/hfifty kilometers per hour6. ... What other purpose does your sophisticated computer system serve?2.
    리포트 | 166페이지 | 4,000원 | 등록일 2010.04.27 | 수정일 2018.05.01
  • [컴퓨터, 논리회로] 논리회로 실습 - Design of a Four bit adder 2
    Measuring the time between event using the crosshairView Trace Print preview그림
    리포트 | 3페이지 | 1,000원 | 등록일 2004.11.06
  • [컴퓨터, 논리회로] 논리회로 실습 - Design of a Four bit adder
    Schematic of a full adderSymbol of the adderSchematic of the four-bit adder그림
    리포트 | 4페이지 | 1,000원 | 등록일 2004.11.06
  • [논리회로] 논리회로 실습 - Design of a Vending Machine
    solution)INPUT 0 (X, Y = 0, 0) : Turn on the Make Selection light to indicate that the required drink selectionINPUT 1 (X, ..
    리포트 | 5페이지 | 1,000원 | 등록일 2004.11.06
  • 건축경영 공정관리
    작성된 Logic 의 수정이 힘들다 . ... ( 작업 착수일 ) 투ol 10.4 공정관리 기법 10.4.2 Time Network 표현기법 ADW (Arrow Diagram Method) 방식A, B,C 작업 완료 후 , D ... Review Technique)Process Control 10.4 공정관리 기법 10.4.3 PERT(Program Evaluation and Revihow}
    리포트 | 68페이지 | 3,000원 | 등록일 2012.05.24
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감