• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 201-220 / 419건

  • 논리회로의 간략화(예비,결과)
    and Computer Design, Alan B. ... 카르노도에x1x2x3+x1x2'x3'+x1x2'x3' => x1x2(x3'+x3)+x1x2'(x3'+x3)=> x1(x2+x2') => x1- 참고문헌 : Introduction to Logic ... AB, 기울임그룹 BC'D(4) A'B'C'D'+A'B'CD'+A'BC'D'+A'BCD'+AB‘C'D'+AB'CD'+ABC'D'을 카르노도를 사용하여 간략화 하시오.
    리포트 | 13페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 실험3예비[1].가산기와감산기
    Half Subtracter 출력 파형이론의 전감산기의 진리표를 참고하여 카노맵을 통해 부울 함수를 구하고 논리 회로를 구성하시오.XY|D01XY|B01001001011011111111110110D에 ... 목 적Logic gates를 이용하여 가산기와 감산기 회로를 구성하고 동작을 확인한다.디지털 시스템의 기본인 가산기와 감산기의 구조 및 동작 원리를 실험을 통해 이해한다.2. ... Half Subtracter with 7480&7486그림 SEQ 그림 \* ARABIC 5.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.27
  • 4bit binary up/down counter
    Logic and Computer Design Fundamentals, 3rd edition Tokheim, Digital Electronics, 3rd edition Morris ... The flip-flops are labeled D,C,B and A. ... … I have to add an OR gate to place a logical 0 on the T inputs of FF1 when the count at outputs D,C,
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.19
  • 듀오,듀오마케팅전략,듀오기업분석,결혼정보회사분석
    듀오 혁신 과정 ………………………………………………… 71) 무형성 극복2) 비일관성 & 제고불능성 극복3) 비일관성 극복4) G.D LOGIC -> S.D LOGIC3. ... 이채롭다.이 영화 내용중 여주인공 은진의 부하 둘이 괜찮은 신랑감이 많이 모여있다는 소문을 듣고 한 결혼정보회사를 찾는 장면이 나오는데 그공이 바로 “듀오” 종로지사다3-2 비일관성 & ... 원하는 상대를 찾을 때 까지 만남 주선을 계속한다.이러한 기술의 도입으로 비일관적으로 제공되었던 서비스는 일관성을 띄는 매뉴얼화가 진행이 되었으며, 고객 자체가 상품이 됨으로써 D.B가
    리포트 | 15페이지 | 2,000원 | 등록일 2012.09.17
  • A+ 기계공학 실험레포트
    수식의 보수- 드 모르간(De Morgan)의 정리 이용- 수식에서 모든 OR 연산은 AND로, AND 연산은 OR로 바꾸어 주고, 각 변수를 보수화한다예) F= AB+C'D'+B'D ... --> F'= (A'+B')(C+D)(B+D')? ... TTL-Logic 기초 실험? 위 실험데이터를 정리해서 제출 (#첨부:실험데이터 자료2부)? 실험정리(기본논리회로)? 실험정리(조합논리회로)- A와 B에 신호를 넣는다.
    리포트 | 10페이지 | 1,500원 | 등록일 2010.06.20
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    또한 인코더가 정보를 이진수로 변환한 것을 계수기를 통해 계수 처리를 실시할 수 있다.실제 시계를 구플립플롭의 출력을 D입력 및 다음단의 클럭입력에 연결해서 각 플립플롭에서 Q출력을 ... ;output_freq : positive := 1000);port( clk : in std_logic ;reset : in std_logic ;clk_out : out std_logic ... ;beginif ( reset = '0' ) thenclk_cnt := 0;tmp_clk := '0';elsif( clk'event and clk='1' ) thenif( clk_cnt
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 삼성전자 기업분석.
    선행연구, 제품의 설계, 디자인, 규격 / 특허 및 R&D 기획 등의 업무를 수행하게 되는데 MC 사업부의 R&D, Software의 경우 아래와 같은 업무를 수행합니다.? ... < 무선 사업부 소개 >▶ 관련분야『H/W 개발』CDMA, GPRS, UMTS, GSM, Mits 단말기 회로설계 및 RF/Logic, 안테나 관련 설계『S/W 개발』Application ... 신기술/신공법 개발, 박막 성형기술,박형/경량/고강도, 금속재질 외장 적용 기술, 고속사출기술> 삼성전자의 R&D는 기초응용 연구, 상품개발, 소재·신공정 개발, 자동화 연구개발 등의
    리포트 | 6페이지 | 2,000원 | 등록일 2012.01.23
  • 카운터설계
    GAL(Generic Array Logic)이라는 소자는 Lattice사에서 나오는 Array Logic이다.이 소자는 내부에 AND/OR/Inverter/Flip-Flop등의 소자가 ... Logic입니다.이 소자는 내부에 AND/OR/Inverter/Flip-Flop등의 소자가 Array 형태로 구성되어있어 사용자가 원하는 기능을 Programming해 주면 사용자 ... 이것은 위의 설명을 보충하기 위해서 설명해본 그림이다.9) GALV8D의 원리 및 데이트 시트GAL(Generic Array Logic)이라는 소자는 Lattice사에서 나오는 Array
    리포트 | 28페이지 | 3,000원 | 등록일 2010.11.23
  • FLIP-FLOP의 동작원리를 VHDL로 확인한 예비
    ;q, qb : out std_logic);end rs_nand;architecture Behavioral of rs_nand issignal tq, tqb: std_logic;beginq ... 전자전기컴퓨터설계실험2Postlab ReportMUX & DEMUXIntroductionFlip-Flop의 종류, 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 구현해 ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과
    리포트 | 8페이지 | 1,500원 | 등록일 2010.04.25
  • 컴퓨터 시스템 구조 7장 연습문제
    메모리 매핑은 programmable logic device(PLD)라 불리는 집적 회로 방식으로 흔히 실현된다. ... 마이크로 프로그램 : 어떤 명령을 수행할 수 있도록 된 일련의 제어 워드가 특수한 기억 장치 속에 저장될 때 이를 마이크로 프로그램이라 한다.d. ... PLD는 디코더 대신에 내부적으로 AND와 OR게이트를 사용하는 것을 제외하면 개념적으로 ROM과 비슷하다.7-11.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.12.02
  • 예비07_Decoder&Encoder
    예비학습(1) 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logic diagram을 그려라(디코딩된 출력은 logic '1'이 되게 한다).그림 9. 3-stage ... 이러한 변환은 카운터의 카운트 상태를 AND gate에 입력하여 이루어진다. 예로서 그림1에 나타난 2단 2진 카운터는 4진 카운터이며 4개의 카운트 상태를 갖는다. ... 네 가지의 상태를 디코딩하기 위해서는 2입력 AND gate 4개 필요하다.K단 2진 카운터의 카운트 능력을 모두 이용할 때 모든 카운트 상태를 디코딩하기 위해서는 K개의 입력을 갖는
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • 전자회로 플립플롭(flip - flop)
    ;q, qb : out std_logic);end rs_nand;architecture Behavioral of rs_nand issignal tq, tqb: std_logic;beginq ... 전자전기컴퓨터설계실험2Postlab ReportF/F and S-P conversion제출일자학 과담 당 교 수담 당 조 교조원학 번이 름IntroductionFlip-Flop의 종류 ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과
    리포트 | 15페이지 | 1,500원 | 등록일 2010.04.25
  • 인공지능 - 정의, 특징, 현주소, 나아갈 방향 등등
    action Enhance human-human, human-computer and computer-computer interaction/communication*인공지능의 개요인식 ... 목표 Replicate human intelligence Solve knowledge-intensive tasks Intelligent connection of perception and ... Program) - 지식 획득 및 표현, 저장, 관리 - 논리학, Fuzzy Logic, Expert System Data Driven Approach - 데이터로부터 추출된 지식으로
    리포트 | 26페이지 | 2,000원 | 등록일 2010.09.30
  • Matlab을이용한 Metal monopole 안테나 설계
    handles.rect_inputs(i,handles.BORDER_WIDTH),'String'));endfprintf(dimensions_m, '\n\ninclude_poly = logical ... COMPUTER.if ispcset(hObject,'BackgroundColor','white');elseset(hObject,'BackgroundColor',get(0,'defaultUicontrolBackgroundColor ... 무손실 안테나, G = D.
    리포트 | 57페이지 | 3,000원 | 등록일 2011.11.20
  • IT정보보안-랜섬웨어
    Mechanism of ransomware ▷ Searching for Drive Looks for D-drive which includes logical drive in the infected ... lock the computer until user puts a password4. ... such as d horse , or Trojan A destructive program that pretend as a harmless application.
    리포트 | 28페이지 | 5,000원 | 등록일 2011.07.26
  • 영문이력서 양식
    INFORMATIONLanguages: Korean – Fluent, English – intermediate level (TOEIC: ###)Computer Skills: High ... (휴학경우)Related Courses: international development cooperation, international relationships, ethics, logics ... 수상기록Interests: Running, Reading all genres of books, MoviesConfidentialPage PAGE 2 of 3 DATE \@ "M/d/
    이력서 | 1페이지 | 1,000원 | 등록일 2012.10.17
  • 부울대수의 정리(예비)
    그리고 각각에 대하여 논리회로로 구성하여라.Y = AB(D+D) = ABD(2) Z=(A+B)(A+B) 를 부울대수 공식을 이용하여 간략화 시키시오. ... 일반적으로 우리가 사용하는 컴퓨터는 0과 1이라는 두 개의 숫자만 사용하는 이진수 시스템의 디지털 컴퓨터(Digital Computer)며, 디지털 논리 회로로 구성되어 있다. ... .※ 실험 부품 및 장비구 분명 칭비 고실험 및 계측장비논리회로실험장치(logic lab unit)오실로스코프20[MHz]이상, 2채널용멀티메타브레드보드실험장치가 없을 경우 :LED
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.14 | 수정일 2015.04.04
  • 전기전자기초실험 Flip-flop and Counter Design 예비보고서
    be added were stored in two shift registers and clocked out into an arithmetic and logic unit (ALU) with ... (schematic).In early computers, shift registers were used to handle data processing: two numbers to ... Edge Triggered D Flip-flopA more efficient way to make a D flip-flop is not so easy to understand, but
    리포트 | 11페이지 | 1,000원 | 등록일 2009.09.08
  • 컴퓨터 구조
    Computer) UNIAC(Universal Automatic Computer) 폰노이만(Von Neumann) 프로그램 내장의 개념 프로그램이 데이터와 함께 기억 장치에 저장 ... Unit) 사칙 연산을 수행하는 산술 연산(Arithmetic Operation)과 참과 거짓을 판별하는 논리 연산(Logic Operation)을 수행 제어장치(Control) ... 산술·논리 연산장치[데이터패스]와 제어장치, 레지스터로 구성[그림 1-2] 중앙처리장치의 종류중앙처리 장치(CPU)산술·논리 연산장치[데이터패스](ALU : Arithmetic Logic
    리포트 | 32페이지 | 3,000원 | 등록일 2010.09.21
  • 기계공학기초실험 TTl-Logic 실험 예비보고서
    1.기본 논리 게이트(Logic Gate) 에 대해 설명 하시오.① 논리 게이트란? ... 이러한 논리대수의 기본이 되는 게이트로는 AND, OR, NOT 등이 있으며, 이들의 조합으로 다양한 형태의 게이트가 만들어진다.② AND 게이트 :AND 게이트는 모든 논리 기능을 ... 고가 함수발생기는 ROM TABLE+D/A 를 이용해 임의의 파형을 만들어 낼 수 있다.(3) 기능① 디스플레이 패널 : 내부 외부의 주파수 값의 디지털 표시② IN/EXT 스위치
    리포트 | 8페이지 | 1,000원 | 등록일 2012.01.10
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대